Система схемотехнического моделирования и проектирования Design Center

         

Редактор входных сигналов StmEd


Редактор входных сигналов StmEd (Stimulus Editor) в среде Windows интегрируется с другими программами Design Center. С его помощью создаются аналоговые и цифровые сигналы, которые просматриваются в графическом виде на экране и записываются в файл (с расширением имени .stl) для подключения внешних воздействий к моделируемой схеме.  Каждому сигналу присваивается уникальное имя. Поддерживаются источники аналоговых сигналов следующих типов: гармоническое колебание, импульсный сигнал, сигнал экспоненциальной формы, сигнал с синусоидальной частотной модуляцией и кусочно-линейный сигнал. Цифровые сигналы имеют вид периодических  сигналов и сигналов произвольной формы.  Кусочно-линейные аналоговые сигналы и цифровые сигналы редактируются непосредственно на экране с помощью курсора мыши. Программа StmEd вызывается автономно или двойным щелчком мыши на символе генератора сигналов на экране Schematics (генераторы сигналов изображаются символами ISTIM, VSTIM, DigStim, DigClock, FileStim из библиотеки source.slb).

Краткое описание команд программы StmEd приведено в табл. 7.1.

Таблица 7.1

Команда

  Назначение

Меню File



New...   

Очистка рабочего окна для создания  нового файла библиотеки воздействий

Open...  (Ctrl+F12)

Открытие (загрузка) существующего файла воздействий для просмотра формы сигналов и последующего редактирования. Построение графиков сигналов из текущей библиотеки воздействий в новом окне выполняется по команде Window/New

Close

Закрытие текущего окна (если библиотека воздействий была изменена, предлагается ее сохранить)

Save (Shift+F12)

Сохранение внесенных изменений в текущем файле

Save As...  (F12)

Сохранение внесенных изменений в новом файле, имя которого указывается по дополнительному запросу

Log Commands...

Включение/выключение режима составления файла протокола команд (расширение имени .cmd)

Run Commands...

Выполнение файла протокола команд

Print...  (Ctrl+Shift+F12)

Печать содержимого  одного или нескольких активных окон

Page Setup...

Настройка параметров страницы:

Margins

Размеры четырех полей в дюймах

Plots Per Page

Количество графиков на странице

Orientation

Горизонтальная (Landscape) или вертикальная (Portrait) ориентация

Cursor Information

Ориентация расположения информации относительно курсора (Top, Bottom, Left, Right, None)

Draw Border

Заключение графика в рамку

Draw Plot Title

Вывод заголовка графика

Header and Footer

Вывод текущей даты, времени и номера страницы

Set Default

Сохранение информации Header and Footer  для установки по умолчанию

Reset Default

Задание типа принтера  по умолчанию

Printer Select...

Установка параметров принтера: разрешающая способность, размер бумаги, горизонтальное (Landscape) или вертикальное (Portrait) расположение изображения на бумаге

Exit  ( Alt+F4)

Завершение работы

Файл 1, ... , Файл 4

Список последних четырех загруженных файлов

Меню  Edit

Delete  (Del)

Удаление выбранного объекта (объекты – названия сигналов, точки излома графиков PWL и точки переходов на временных диаграммах – выбираются щелчком мыши).  Из библиотечных файлов эти объекты не удаляются

Scale...

Изменение масштабных коэффициентов по осям времени и значений (только для сигналов PWL)

Object... (двойной щелчок на имени сигнала)

Разрешение редактирования выбранного объекта. При выборе сигнала PWL или цифрового сигнала точки их излома помечаются квадратиками, их можно удалять или буксировать курсором

Add (Alt+A)

Добавление точек излома. При редактировании сигнала PWL команда имеет название Add Points, цифрового сигнала –  Add Transition (выбирается вид изменения состояния 0/1, X или Z)

Меню Stimulus

New... (Alt+N)

Создание нового сигнала в текущем активном окне. Вид сигнала выбирается в меню New Stimulus (рис. 7.1). После ввода имени сигнала выбирается тип сигнала, затем в открывшемся меню  Modify Stimulus (рис. 7.2) – его параметры

Get... (Ins)

Добавление на текущее активное окно графика ранее определенного сигнала. Имя сигнала выбирается из списка

Copy...

Копирование сигнала из другого библиотечного файла

Remove... (Alt+Delete)

Удаление выбранного объекта с экрана и из библиотечного файла

Rename...

Переименование сигнала

Меню Plote

Axis Settings ...

Задание диапазонов значений по осям X и Y:

Displayed Data Range

Установка значений, которые высвечены на панели диалога. Их начальные значения те же, что устанавливаются по команде View/Fit

Extent of the Scrolling Range

Установка пределов линеек прокрутки по осям X и Y

Auto Range

Автоматическое масштабирование пределов линеек прокрутки

User Defined

Задание пределов линеек прокрутки пользователем

Minimum Resolution

Минимальное разрешение по осям X и Y

Add Plot

Добавление нового окна графиков в верхней части текущего окна

Delete Plot

Удаление текущего окна (помечено символом SEL>>)

Unsync Plot

Задание собственной оси X для каждого окна

Меню View

Fit  (Ctrl+N)

Изменение масштаба изображения так, чтобы на полном экране разместились все графики

In  (Ctrl+I )

Увеличение масштаба изображения в 2 раза (центр поля зрения указывается курсором)

Out  (Ctrl+O)

Уменьшение масштаба изображения в 2 раза (центр поля зрения указывается курсором)

Area  (Ctrl+A )

Вывод на весь экран окаймленной части изображения

Previous   (Ctrl+P)

Возвращение к предыдущему масштабу изображения

Pan–New Center

Расположение схемы симметрично относительно точки расположения курсора без изменения масштаба

Redraw  ( Ctrl+L)

Перечерчивание экрана

Меню Tools

Label

Нанесение на графики текстовых и графических символов:

Text

Текст

Line

Отрезок линии

Poly-line

Линейно-ломаная линия

Arrow

Стрелка

Box

Прямоугольник

Circle

Окружность

Ellips

Эллипс

Parameters...

Открытие окна диалога для задания, редактирования или удаления имен и значений параметров, которые могут использоваться при определении сигналов (при ссылке на них они заключаются в фигурные скобки { }). В Schematics необходимо еще раз задать определения этих же параметров, чтобы провести моделирование

Меню Window

New

Открытие нового окна

Close

Закрытие текущего окна

Arrange...

Упорядочение размещения открытых окон

Title...

Редактирование текста заголовка

1 <заголовок окна>

Список открытых окон

.    .    .    .

[9 <заголовок окна>]

[Дополнительные окна]

Меню Help

 

About StmEd...

Вывод номера версии программы и ее регистрационного номера

<


Обсудим основные фазы работы с редактором сигналов StmEd. Сначала по команде File/New открывается новый файл библиотеки сигналов. Далее по команде Stimulus/New на открывшейся панели (рис. 7.1) выбирается тип сигнала и в  строке Name  указывается его имя. После выбора сигнала  щелчком по клавише OK  открывается панель ввода его параметров (рис. 7.2). Аналоговые сигналы EXP, PULSE, PWL, SFFM и SIN описаны в разд. 3.2.3. Поэтому остановимся здесь на описании цифровых сигналов Signal, Clock и Bus.





Рис. 7.2. Ввод параметров сигнала



Рис. 7.1. Выбор типа сигнала
В программе StmEd предусмотрены цифровые сигналы трех типов.

1. Signal – временная диаграмма логических состояний, задаваемых пользователем с помощью мыши. После выбора этого сигнала на экране вычерчивается поле графика, помеченное слева именем сигнала, окрашенным в красный цвет  (признак активности сигнала и его доступности для редактирования).  Моменты времени изменения логического состояния задаются по команде Add Transition, которая имеет опции To 0/1, To X, To Z. Курсор принимает форму карандаша, и щелчком мыши отмечают точки перехода в новое состояние. Моменты времени текущего положения курсора указываются в левой части нижней строки экрана (рис. 7.3). При этом для удобства задания моментов времени в меню Plot нужно установить необходимую дискретность изменения времени по команде Axis Settings/Minimum Resolution. Обратим внимание, что в данном режиме точки изменения состояний цифровых сигналов помечены метками в виде квадратиков –  их можно перемещать с помощью курсора и удалять нажатием клавиши Del.

2. Clock – сигнал синхронизации. Характеризуется частотой повторения (Frequency), скважностью (Duty Cycle), значением начального состояния (Initial Value) и задержкой (Time Delay). Форма этого сигнала и панель задания его параметров приведены на рис. 7.3.





Рис. 7.3. Редактирование параметров сигнала синхронизации CLK

3. Bus –  многоразрядные сигналы (шины).  Вводится по тому же принципу, что Signal, только дополнительно нужно задать количество выходов источника сигналов  (Bus width).


Моменты времени изменения логического состояния задаются по команде Add Transition, которая в данном случае имеет опции To Value, Incr by, Decr by, To X, To Z. При выборе опции  To Value выбирается вид системы счисления Radix (Binary, Decimal, Hexadecimal, Octal) и указывается новое значение сигнала New Value. При выборе опции Incr by (Decr by) задается приращение изменения состояния и курсором последовательно отмечаются моменты времени увеличения (уменьшения) кода состояния многоразрядного сигнала.

После ввода всех параметров сигнала нажимается клавиша Apply и на экране вычерчивается график сигнала. При этом  панель ввода параметров не закрывается, что дает возможность изменить какой-либо параметр и снова просмотреть форму графика. Ввод параметров сигнала заканчивается нажатием клавиши OK.

Создание сигналов завершается выполнением команд записи библиотеки сигналов в файл File/Save или File/Save As и File/Exit. По умолчанию принимается расширение имени файла библиотеки сигналов .stl


Режим Footprint Editor


Редактор корпусов компонентов Footprint Editor вызывается из режима редактирования плат Layout Editor по команде Library/Footprint или нажатием клавиши F9. С его помощью создаются заново или редактируются существующие физические образы компонентов, так называемые типовые корпуса, в частности:

– рисуется графика корпусов, включая контур компонента, его выводы, шаблоны позиционного обозначения и имени компонента;

– указываются габариты компонента на верхней и нижней стороне платы для контроля за плотностью размещения компонентов;

– редактируются атрибуты корпуса;

– указывается точка привязки корпуса и его центр для оборудования автоматического монтажа печатной платы;

– импорт  и экспорт файлов внешних текстовых описаний типовых корпусов компонентов.

В табл. 8.5 приведем  краткое описание команд редактирования корпусов компонентов (режим Footprint Editor).

Таблица 8.5

Команда

  Назначение

Меню File

New...   

Очистка рабочего окна для создания нового корпуса. Введенная информация заносится в Footprint Library по командам File/Save

As или Footprint/Save to Library или в текстовый файл по команде Footprint/Export

Open...

Открытие (загрузка) существующего файла Footprint Library

Close

Закрытие текущего файла Footprint Library

Save  (Ctrl+S )

Сохранение внесенных изменений в текущем файле Footprint Library

Save As...

Сохранение внесенных изменений в новом файле Footprint Library, имя которого указывается по дополнительному запросу

Print...  (Ctrl+P)

Вывод на принтер или в файл графического изображения текущего корпуса:

 

Job Setup

Выбор задания на вывод

 

Printer

Выбор устройства вывода и настройка конфигурации

 

Current View

Масштабирование изображения

Reports

Вывод отчета о текущем корпусе или о всей библиотеке

Current Messages... (F10)

Сообщения об ошибках

Exit  (Alt+F4)

Завершение сеанса редактирования

Файл 1, ... , Файл 4

Список последних четырех загруженных файлов

Меню  Edit

Undo  (Ctrl+Z)

Восстановление последнего удаленного объекта (откат назад)

Redo (Ctrl+Y)

Последовательное восстановление предыдущих операций Undo (откат вперед)

Cut  (Ctrl+X, Del)

Удаление объекта(ов) и помещение его в буфер обмена

Copy  (Ctrl+C, Ctrl+Ins)

Копирование объекта(ов) в буфер для последующего размещения в рабочей области по команде Paste

Paste  (Ctrl+V, Shift+Ins)

Размещение в рабочей области последнего объекта, занесенного в буфер по команде Cut или Copy

Delete  (Del)

Удаление выбранного объекта(ов)

Move Delta...  (Ctrl+D)

Перемещение выбранных объектов на заданное расстояние

Rotate (Ctrl+R)

Поворот выбранного объекта по часовой стрелке (угол поворота устанавливается по команде Tools/Options)

Mirror

Зеркальное отображение графических объектов и текста относительно вертикальной оси

Attributes... (F11 или двойной щелчок на выбранном объекте)

Редактирование атрибутов выбранного объекта (линии, вывода, текста, корпуса)

Меню Draw

Repeat  ([Пробел])

Повторение предыдущей команды

Arc

Рисование дуги

Circle

Рисование окружности

Line

Рисование линии

Polyline

Рисование линейно-ломаной линии

Rectangle

Рисование прямоугольника

Add Text...

Ввод текста (символы кириллицы не допускаются)

More Graphics

Альтернативные способы рисования дуг, линий и прямоугольников:

Arc-Tru 3 Points

Дуга по трем точкам

Arc-Coord.&Radius...

Дуга по координатам центра, длине радиуса и углу сектора

Arc-Center&Radius...

Дуга по длине радиуса и углу сектора, центр отмечается курсором

Line-Coord...

Линия по координатам начальной и конечной точек

Rect.-Coord...

Прямоугольник по координатам противоположных вершин

Rect.-Coord&Deltas...

Прямоугольник  по координате одной вершины и длинам сторон

Rect.-Corner&Deltas...

Прямоугольник по длинам сторон, вершина отмечается курсором

Add Hole...

Размещение сквозного отверстия (одновременно указывается его диаметр и допустимый зазор)

Pins...

Интерактивное или автоматическое размещение выводов. По умолчанию они размещаются на слое [Top], планарные выводы (включена опция SMT) могут располагаться и на слое [Bottom]. При автоматическом размещении (включена опция Enable) указывается начальный номер первого вывода, общее количество выводов и курсором отмечаются точки расположения первых трех выводов

Pin Array...

Интерактивное или автоматическое размещение матрицы выводов (задается количество строк, столбцов и номер первой строки и первого столбца и другие параметры)

Radial Pins...

Интерактивное или автоматическое размещение выводов на окружности (задается угол между соседними выводами)

REFDES Template

Указание места расположения позиционного обозначения компонента

TYPE_NAME Template

Указание места расположения имени типа компонента

Footprint Origin

Задание точки начала координат (0,0) корпуса компонента

Machine Placement Center

Указание курсором центра корпуса для системы автоматического размещения компонентов на плате

Machine Center by Coord

Указание координат центра корпуса для системы автоматического размещения компонентов на плате

Меню Footprint

New...

Очистка рабочей области для создания нового корпуса

Copy...

Копирование информации о существующем корпусе для создания нового

Get... (Ctrl+G)

Загрузка корпуса компонента из текущей библиотеки

Remove...

Удаление информации о корпусе из файла текущей библиотеки

Set Name...

Задание имени нового корпуса

Save To Library...

Запись информации о текущем корпусе в библиотеку

Import...

Копирование содержания внешнего текстового файла (.fpd) с информацией о корпусе

Export...

Запись информации о текущем корпусе во внешний текстовый файл (.fpd)

Меню View

Redraw (Ctrl+L)

Перечерчивание экрана

Fit  (Ctrl+N)

Изменение масштаба изображения так, чтобы на полном экране разместились все видимые в рабочей области объекты

In  (Ctrl+I)

Увеличение масштаба изображения (центр поля зрения указывается курсором)

Out  (Ctrl+O)

Уменьшение масштаба изображения (центр поля зрения указывается курсором)

Previous (Ctrl+U)

Возвращение к предыдущему масштабу изображения

Area (Ctrl+A)

Вывод на весь экран окаймленной части изображения

Pan-New Center (F12)

Расположение видимой области корпуса симметрично относительно точки расположения курсора без изменения масштаба

Меню Configure

Styles (переключение в окне Style Box)

Задание имен и характеристик стилей  графических объектов:

Lines&Arc...

Линии и дуги характеризуются шириной

Text... (F6)

Текст характеризуется высотой и шириной символов, привязкой и углом наклона

Pin... (F7)

Выводы характеризуется именем стека контактных площадок

Snap Grid... (F2)

Задание шага сетки (Grid Spacing), ее видимости (Display) и привязка объекта к ближайшему узлу сетки (Snap)

Layer Display... (F3)

Выбор текущего активного слоя, одного или нескольких видимых слоев, изменение их цвета

Padstacks... (F4)

Просмотр и редактирование стеков контактных площадок, помещенных в библиотеку Padstack Library

Меню Tools

Measure (Ctrl+M)

Измерения расстояния между двумя точками

Edit PADS Mapping File...

Редактирование файла списка соответствий имен корпусов в программах PADS  и PCBoards (.ymp)

Options... (F8)

Задание параметров:

Units of Measure

Система единиц (mil, mm)

Interaction Preferences

Радиус захвата, угол поворота объектов, перемещение объектов вместе с проводниками, оперативный контроль зазоров и другие параметры

Zoom

Степень увеличения/уменьшения изображения

Pan Delta

Степень перемещения изображения окна с помощью линейки прокрутки

AutoPan

Минимальное время, в течение которого курсор  должен находиться в новом положении, чтобы переместился корпус компонента

AutoSave

Интервал автосохранения изображения

Repaint

Параметры, определяющие способ регенерации изображения

Save Settings

Запись параметров в файл msim.ini

Меню Library

Load Padstacks

Загрузка файла контактных площадок Padstacks (.psl)

Export Padstacks

Добавление определений контактных площадок, имеющихся в сессии работы с Footprint Editor, во внешнюю библиотеку Padstacks Library

Меню Window

New Layout Window

Создание нового окна Layout Editor

Close

Закрытие текущего окна Footprint Editor

Arrange

Упорядочение размещения открытых окон

1 <заголовок окна>

Список открытых окон

.    .    .    .

[9 <заголовок окна>]

[Дополнительные окна]

Меню Help

 

Contents

Вывод списка разделов встроенной инструкции

Search for Help On...

Поиск статьи по одной или нескольким первым буквам ключевого слова

Glossary

Предметный указатель

Menu Commands

Вывод инструкции о всех командах

Toolbar Shortcuts

Список кнопок панели инструментов

Keyboard Shortcuts

Вывод списка назначений функциональных клавиш и комбинаций клавиш

Technical Support

Информация о службе сервиса корпорации MicroSim

Procedures

Вывод правил настройки конфигурации

How to Use Help

Вывод кратких указаний по работе со встроенной инструкцией

About PCBoards...

Вывод номера версии программы и ее регистрационного номера

<


Рис. 8.4. Графика корпуса и список атрибутов компонента с планарными выводами SOIC36

В качестве примера на рис. 8.4 приведен экран Footprint Editor с изображением корпуса компонента с планарными выводами. На корпусе отмечены лишь центры  выводов, информация о геометрии планарных выводов находится в библиотеках стеков контактных площадок Padstack Library (файлы с расширением имени .psl). Изображения контактных площадок переносятся на плату после выполнения команды Library/Load Padstaks.

На рис. 8.4 приведен список атрибутов корпуса. В частности, атрибут PIN_TYPE содержит информацию о типах выводов: smt  – планарный, thr  – штыревой вывод. С помощью информации, содержащейся в атрибутах, выполняется автоматическая трассировка соединений и контроль соблюдения зазоров. В табл. 8.6 приведен перечень атрибутов различных объектов.

Таблица 8.6

Атрибут

Назначение

Компонент

REFDES

Позиционное обозначение компонента

TYPE_NAME

Имя типа компонента

FOOTPRINT

Имя корпуса компонента

COMP_LAYER

Слой расположения компонента

COMP_ANGLE

Угол ориентации компонента

COMP_X

Координата X расположения на плате точки привязки

COMP_Y

Координата Y расположения на плате точки привязки

FIXED

Признак фиксированного на плате компонента (YES/NO)

Выводы компонента

PINNUMBER

Номер вывода

PIN_TYPE

Тип вывода

PIN_PADSTACK

Имя  стека контактных площадок

PIN_X

Координата X вывода

PIN_Y

Координата Y вывода

PIN_ANGLE

Угол ориентации вывода

PIN_START_LAYER

Имя начального слоя

PIN_END_LAYER

Имя конечного слоя

PIN_NETNAME

Имя вывода

PIN_TESTPOINT

Контрольная точка (TOP –  на верхней стороне, BOTTOM – на нижней стороне, BOTH – на обеих сторонах платы)

PIN_ERC

Тип вывода, используемый при автоматической трассировке по методу Daisy Chain (TERM – контактная площадка, SOURCE – источник сигнала, нет значения – нагрузка цепи)

Цепи

NETNAME

Имя цепи

NET_FIXED

Признак фиксированной (неперемещаемой) цепи

NET_TRACE_WIDTH

Ширина трассы

NET_CLEARANCE

Зазор между трассой и другими объектами

NET_PADSTACK

Имя стека контактных площадок

NET_PRIORITY

Приоритет трассировки цепи (от 0 до 255, цепи с приоритетом 255 трассируются первыми)

SEG_WIDTH

Ширина сегмента трассы

SEG_CLEARANCE

Зазор между сегментом трассы и другими объектами

Полигоны

FILL_LAYER

Слой расположения полигона

FILL_HATCH_WIDTH

Толщина линий штриховки

FILL_HATCH_SPACING

Расстояние между линиями штриховки

FILL_CLEARANCE

Зазор между двумя полигонами

FILL_DISPLAY_MODE

Стиль изображения полигона: DRAFT – вывод  контура; OUTLINE – вывод  контура, включая зазор вокруг трасс и контактных площадок; HATCH45 – штриховка под углом 450; HATCH90 – штриховка под углом 900; SOLID – сплошной

FILL_SHOW_ISLANDS

Вывод изолированных участков (“островков”) на экран дисплея и фотошаблон (YES/NO)

Отверстия

HOLE_DIAMETER

Диаметр отверстия

HOLE_CLEARANCE

Зазор между отверстием и другими объектами

Переходные отверстия

VIA_PADSTACK

Имя стека контактных площадок

VIA_TESTPOINT

Переходное отверстие контрольной точки (TOP –  на верхней стороне, BOTTOM – на нижней стороне, BOTH – на обеих сторонах платы)

Графические объекты

GRPH_LINE_WIDTH

Ширина графической линии

GRPH_LAYER

Слой расположения объекта

CTN_TEMPLATE

Шаблон имени типа компонента

REFDES_TEMPLATE

Шаблон позиционного обозначения компонента

Текст

TEXT

Строка текста

HEIGHT

Высота символов

WEIGHT

Ширина символов

ANGLE

Угол ориентации текста

JUSTIFICATION

Привязка текста

TEXT_CLEARANCE

Зазор между текстом и другими объектами

<


Типовые значения атрибутов устанавливаются для всех однотипных объектов платы и затем могут индивидуально редактироваться.

    ПРИЛОЖЕНИЕ 4.  БИБЛИОТЕКА  МОДЕЛЕЙ ПОЛУПРОВОДНИКОВЫХ ПРИБОРОВ

        В настоящее время составлена библиотека математических моделей оте-

чественных диодов,  транзисторов, операционных усилителей и компарато-

ров напряжения,  включающая в себя около 300 наименований.  В связи  с

тем,  что публикация ее содержания в книге не имеет смысла из-за боль-

шого труда по  переносу на магнитные носители,  приведем здесь  лишь

ее каталог и примеры ряда типичных моделей. В полном объеме библиотека

может быть поставлена на дискетах.

                     Диоды выпpямительные

 2Д103А                                            KД102A                     Д237А, Б, В, Г, Е

 2Д104А                                            KД103A                     КД2997

 2Д106А                                            KД104A                     КД503А

 2Д203А, Б, В, Г, Д                          KД105A                     КД509А

 2Д212А, Б, В, Г                               КД202Д                     KД510A

 2Д213А, Б, В, Г                               КД203А                     КД512А

 2Д220А, Б, В, Г, Д, Е, Ж, И           КД204А                     КД513А

 2Д419А, Б, В                                   КД208А                     КД520А

 2Д420А                                            КД209А                     КД521А

 2Д503Б                                             KД212A                     KД522А, Б

 2Д510А                                            KД213A, Б

 2Д521А                                            КД219А

 2Д522А, Б                                        КД220А

.model D2D104A D (Is=162n N=2.887 Rs=1.031 Ikf=1.82 Xti=3 Eg=1.11

+    Cjo=29.58p M=.3333 Vj=.75 Fc=.5 Isr=34.09E-30 Nr=5.963 Bv=500.2

+    Ibv=.2589 Tt=5.771u)

*

.model KD209A  D  (IS=6.22e-11 N=1.23 RS=0.17 CJO=16.2 TT=7.21e-7



+    M=0.35 VJ=0.68 FC=0.5 BV=600 IBV=1e-10 EG=1.11 XTI=3)

*

. model KD509A  D  (IS=1.14e-12 N=1.12 RS=2.1 CJO=2.15p TT=3.25n

+    M=0.33 VJ=0.71 FC=0.5 BV=50 IBV=1E-11 EG=1.11 XTI=3)

*

.model KD522B  D  (Is=33.85e-21 Rs=0 N=1 Xti=3 Eg=1.11 Bv=30 Ibv=2u

+    Cjo=4p Vj=.75 M=.3333 Fc=.5 Tt=4p)

                          Стабилитроны

Д814А, Б, В, Г, Д                             2С211Г

Д815А, Б, В, Г, Д, Е, Ж                   2С522А

Д816А, Б, Г, Д                                  2С527А

Д817А, Б, В, Г                                  КС133А

Д818Е                                                КС139А

2С133А                                             КС147А

2С147А                                             КС156А

2С168А                                             КС168А

2С191Г

.model D814A D(IS=.3920e-12 N=1.19 RS=1.25 CJO=41.15p  TT=49.11n

+    M=0.41 VJ=0.73 FC=0.5 BV=8 IBV=0.5u EG=1.11 XTI=3)

*

.model D818e D(Is=3m Rs=18 N=1 Xti=3  Eg=1.11  Bv=9  Ibv=3m Cjo=1p

+    Vj=.75 M=.3333 Fc=.5 Tt=5n)

*

.model KS133A D(IS=89.00e-15 N=1.16 RS=25  CJO=72.00p  TT=57.00n

+    M=0.47 VJ=0.80 FC=0.5 BV=3.3 IBV=5u EG=1.11 XTI=3)

*

.model 2S527A D(IS=25.00e-15 N=1.11  RS=4  CJO=47.42p  TT=256.6n

+    M=0.39 VJ=0.68 FC=0.5 BV=27 IBV=5u EG=1.11 XTI=3)

                    Биполярные транзисторы

p–n–p                          n–p–n                        p–n–p                         n–p–n

2Т202А, Б, В, Г         2Т215А, Б, В, Г         Т208К

2Т203Д                      2T312A, Б, B             КТ351А

2Т214А, Б, В, Г         2Т315А, Е, Д, И        КТ363А

2Т313Б                       2Т316Б, Д                  КТ364А, Б, В

2Т326Б                       2Т324А, Б, В,            КТ375А, Б

2Т360А, Б, Г                          Г, Д, Е           ТС3103А-1,               КТ312Б

2Т363Б                       2Т325Б                       КТ3107А,Б,В,Г,

2Т364А-2, Б-2           2Т354А                      Д,Е,ГЕ,И,К,Л            КТ315А,Б,В,Г,


h1>
            В-2                  2Т355А                                                                     Д,Е,ГЕ,И

2Т370А-1                   2Т368Б                       КТ830А, Б, В, Г        КТ316b

2Т3107А, Е, И,          К 2Т371А                                          КТ385АМ-2

2Т3108А, Б, В           2Т384AM-2               КТ836А, Б, В            КТ399А

2Т626А                      2Т385А                                                         КТ3102А, Б, БМ,

2Т632А                      2Т388А                                                                     В, Г, Е

2Т639Б                       2Т397                                                            КТ3117А

2Т644Б                       2Т399А                                                         КТ608А, Б

2Т708                         2Т3102А, Б, Е                                   КТ630А, Б, В,

2Т709                         2Т3117А                                                                   Г, Д, Е

2Т818А                      2Т504                                                            КТ635Б

2Т825А                      2Т505                                                            КТ642А-2

2Т830А                      2Т602А, Б                                                     КТ653Б

                                   2Т625А, Б                                                     КТ815Г

                                   2Т629А                                                         КТ819А, Б, В

                                   2Т630А, Б, В,                                    КТ831А, Б, В

                                               Г, Д                                                    КТ841А

                                   2Т638А                                                         КТ847А

                                   2Т646А                                                         КТ866А

                                   2Т819А, Б, В, Г                                КТ880А



                                   2Т827А                                                         КТ926А

                                   2Т831А

                                   2Т921А

                                   2T939A

. model 2t312a  NPN  (Is=21f  Xti=3  Eg=1.11   Vaf=126.2   Bf=86.76

+   Ise=189f Ne=1.328   Ikf=.164   Nk=.5  Xtb=1.5  Br=1  Isc=66.74f

+   Nc=1.385 Ikr=1.812  Rb=300  Rc=.897  Cjc=8.4p Mjc=.29  Vjc=.692

+   Fc=.5 Cje=26.53p Mje=.333 Vje=.75 Tr=10n Tf=1.743n Itf=1)

*

.model 2T315A NPN (Is=23.68f Xti=3 Eg=1.11 Vaf=60 Bf=108  Ne=1.206

+   Ise=23.68f Ikf=.1224 Xtb=1.5 Br=4.387G Nc=1.8  Isc=900p Ikr=20m

+   Rc=5  Cjc=7p  Vjc=.7  Mjc=.333  Fc=.5  Cje=10p  Vje=.7 Mje=.333

+   Tr=130.5n Tf=1n Itf=40m Vtf=80 Xtf=1.1)

*

.model 2t371a  NPN  (Is=1.378f  Xti=3  Eg=1.11  Vaf=68.25   Bf=236

+   Ne=1.479 Ise=43.8f  Ikf=.1777   Xtb=1.5  Var=45  Br=3.414  Nc=2

+   Isc=55f Ikr=35m Rb=44.1 Rc=2.8 Cjc=1.932p Vjc=.75 Mjc=.33 Fc=.5

+   Cje=1.747p Vje=.69 Mje=.33  Tr=13.65n  Tf=43.78p Itf=.35 Vtf=10

+   Xtf=2)

*

.model 2T3102A  NPN (Is=685.1f Xti=3 Eg=1.11 Vaf=80 Bf=213 Ne=2.55

+   Ise=563.4p Ikf=.43 Xtb=1.5 Br=11.68G Nc=2 Isc=100p Ikr=80m Rc=7

+   Cjc=12.07p Vjc=.7 Mjc=.333 Fc=.5  Cje=9.284p  Vje=.75  Mje=.333

+   Tr=80p Tf=252.6p Itf=8m Vtf=50 Xtf=1.2)

*

.model KT3117A  NPN  (IS=10e-15  ISE=1uA  NE=4  ISC=1uA NC=4 BF=200

+   IKF=.4A VAF=70 CJC=10pF CJE=30pF RB=5 RE=.5 TF=0.6NS TR=200ns)

*

.model KT608A  NPN  (IS=1e-12 VAF=60 VAR=8V BF=80 IKF=.4A NC=4 NE=4

+   RB=3 RE=.5 ISE=10uA ISC=10uA CJC=12pF CJE=30pF TR=50NS TF=.6ns)

*

.model KT608B  NPN (IS=1e-12 VAF=60 VAR=8V BF=160 IKF=.4A NC=4 NE=4

+   RB=3 RE=.5 ISE=10uA ISC=10uA CJC=12pF CJE=30pF TR=50ns TF=.6ns)

*

.model 2T630A NPN (Is=442.7e-18 Xti=3 Eg=1.11 Vaf=120 Bf=80 Ne=1.5

+  Ise=1e-7 Ikf=.8  Xtb=1.5  Br=1 Nc=2 Isc=1E-6 Ikr=.7 Rc=0 Cjc=25p

+  Vjc=.75 Mjc=.333 Fc=.5 Cje=65p Vje=.75 Mje=.333 Tr=10n Tf=3.129n)

*

.model KT830A PNP  (Is=141f  Xti=3  Eg=1.11  Vaf=100  Bf=25  Ne=1.5



+   Ise=100u Ikf=1.5 Xtb=1.5 Br=1 Nc=2 Isc=100u Ikr=0 Rc=0 Cjc=180p

+   Vjc=.75 Mjc=.333 Fc=.5   Cje=210p   Vje=.75   Mje=.333   Tr=10n

+   Tf=31.77n Itf=1.5 Vtf=100 Xtf=1.3)

*

.model 2T939a  NPN  (Is=4.08f  Xti=3  Eg=1.11  Vaf=25.48  Bf=105.4

+  Ise=56.88f Ne=1.39  Ikf=1.763  Nk=.82  Xtb=1.5  Br=1  Isc=365.6f

+  Nc=1.825  Ikr=.398  Rb=6  Rc=.818  Cjc=10.12p Mjc=.8707 Vjc=7.26

+  Fc=.5 Cje=17.53p Mje=.4951 Vje=1.472 Tr=10n Tf=40.66p  Itf=10.44

+  Xtf=70.42 Vtf=10)

                Макромодели транзисторных сборок

                     p-n-p                             n-p-n

                  198НТ5, 6, 7, 8   129НТ1, Б

                  КТС393Б             159НТ1

                  КТС622А           198НТ1, 2, 3, 4

                  2ТС622А, Б        1НТ251А

                                                           КТС398А, Б

*---------------------------  198NT1 --------------------------

*                                                                                                          E1

*                                 E3  B3  C3 E5  B5  C5  E4  B4  C4  C2  B2  E2  B1  C1

*                                  |      |      |     |      |     |      |      |      |      |     |      |      |     |

.SUBCKT  198NT1   1    2    3    4     5    6     7     8     9    10  11   12   13  14

Q1   14   13   12   NT1

Q2   10   11   12   NT1

Q3     3     2     1   NT1

Q4     9     8     7   NT1

Q5     6     5     4   NT1

.model NT1 NPN (Is=33.67f Xti=3 Eg=1.11 Vaf=100 Bf=150 Ne=1.5 Ise=0

+   Ikf=20E-3 Xtb=1.5 Br=1  Nc=2  Isc=0  Ikr=0  Rc=0 Cjc=7p Vjc=.75

+   Mjc=.333 Fc=.5 Cje=25p Vje=.75 Mje=.3333 Tr=10n Tf=158.9n Itf=0

+   Vtf=0 Xtf=0)

.ENDS

*----------------------------  KTC398A  -----------------------

*                                      E1   C1   B1  B2  C2   E2

*                                         |      |       |      |      |      |

.SUBCKT   KTC398A    1     2     3     4     5     6

Q1     2     3     1     NT1



Q2     5     4     6     NT1

.model NT1  NPN  (Is=5f Xti=3 Eg=1.12 Vaf=20 Bf=150 Ne=1.2 Ise=750f

+   Ikf=25m Nc=2 Xtb=1.5  Br=2  Isc=650f  Ikr=20m  Rc=50  Cjc=2.96p

+   Vjc=.75 Mjc=.333 Fc=.5  Cje=2.653p  Vje=.75  Mje=.333  Tr=1.12n

+   Tf=68.3p Itf=.02 Vtf=20 Xtf=1.1)

.ENDS

                        Полевые транзисторы

.model  J2PS104G NJF(Vto=-1.562 Beta=816.3u Lambda=8.83m Rs=31 Rd=31

+  Cgs=3.2p Cgd=2.2p Fc=0.5 Pb=1 Is=10f)

*

.model  J2P303B NJF(Vto=-0.8137 Beta=2.015m Lambda=17.89m Rs=44 Rd=44

+  Cgs=4.2p Cgd=3.8p Fc=0.5 Pb=1 Is=10f)

*

.model  J2P307B NJF(Vto=-2.617 Beta=1.578m Lambda=1.890m Rs=15 Rd=15

+  Cgs=3.5p Cgd=3p Fc=0.5 Pb=1 Is=10f)

  ПРИЛОЖЕНИЕ 5. БИБЛИОТЕКА МОДЕЛЕЙ ЦИФРОВЫХ И АНАЛОГО-ЦИФРОВЫХ ИС

   Рассмотрим характерные  особенности составления моделей цифровых и аналого-цифровых ИС для программы PSpice на нескольких конкретных примерах [24, 28].



Рис. П5.1. Логический элемент 2И–НЕ
   1. Логический ТТЛ–элемент 2И–НЕ 133ЛА3.  Модели  всех  ИС,  даже простейших, необходимо оформлять в виде макромоделей (subckt). В связи с тем, что для однородных ИС составляются символы  одной  секции,  ниже приведем  описание секции ИС 133ЛА (рис.  П5.1):

.subckt    A   B   NQ

U1  NAND(2)  $G_DPWR  $G_DGND   A   B   NQ   D_133   IO_133

.ends

   Здесь 133LA3 – имя макромодели,  совпадающее с именем SYM-файла; $G_DPWR,  $G_DGND – имена узлов питания и цифровой “земли”; A,  B – имена входов секции; NQ – имя выхода; U1 – имя компонента в составе макромодели;  NAND – имя стандартной модели вентиля И–НЕ; в круглых скобках указано общее количество входов в корпусе – 2;  далее перечисляются имена узлов подключения макромодели;  D_133 – имя модели динамики вентиля 133ЛА3; IO_133 – модель входа/выхода вентиля.

   Модель входа/выхода имеет вид:

.model   IO_133   UIO (drvh=50 drvl=50 AtoD=AtoD_133, DtoA=DtoA_133)

   Здесь UIO  – cтандартное ключевое слово;  drvh,  drvl – выходные сопротивления вентиля в состоянии высокого и низкого  уровня  соответственно (используются при определении логического уровня сигнала на входе ИС,  к которому параллельно подключены  выходы  нескольких источников  сигнала);  AtoD,  DtoA – имена моделей интерфейсов аналог/цифра (А/Ц) и цифра/аналог (Ц/А) соответственно.



   Модель динамики вентиля имеет вид

.model   D_133   UGATE (tplhty=2.2n, tplhmx=5n, tphlty=1.5n, tphlmx=3n)

   Здесь UGATE – стандартное ключевое слово; в скобках указаны значения задержек при переходе от низкого уровня к высокому и от высокого уровня к низкому (для каждой задержки может быть указано минимальное, типичное и максимальное значения).

   Модель интерфейса А/Ц имеет вид

.subckt AtoD_133   A   D

o1   A   $G_DGN  D IN_133   Dgtlnet=D IO_133

.ends

   Модели интерфейса А/Ц и Ц/А включаются в схему между аналоговыми и цифровыми узлами автоматически. Здесь A –

имя аналогового узла; D – имя цифрового узла;  $G_DGND –

глобальное имя “земли”;  IN_133  –

имя модели интерфейса А/Ц.

   Модель интерфейса А/Ц имеет вид

.model   IN_133   DOUTPUT(

+    s0name=0, s0vlo=-10, s0vhi=.5,

+    s1name=1, s1vlo=2.5, s1vhi=10,

+    s2name=x, s2vlo=.5,  s2vhi=2.5)

   Здесь DOUTPUT – ключевое слово;  s0name,  s1name, s2name – имена логических состояний “0”,  “1” и неопределенного состояния “X”; для каждого состояния указываются граничные значения напряжений.

   Модель интерфейса Ц/А имеет вид

.subckt DtoA_133 D A

n1 A $G_DGND $G_DPWR OUT_133 Dgtlnet=D IO_133

.ends

   Здесь $G_DPWR – глобальное имя цепи питания; OUT_133 – имя модели интерфейса Ц/А.

   Модель интерфейса Ц/А имеет вид

.model OUT_133 DINPUT (

+ s0name=0, s0tsw=3.5n,  s0rlo=7,    s0rhi=390,

+ s1name=1, s1tsw=5.5n,  s1rlo=467,  s1rhi=200,

+ s2name=x, s2tsw=3.5n,  s2rlo=43,   s2rhi=106,

+ s3name=z, s3tsw=3.5n,  s3rlo=200k, s3rhi=200k)

   Здесь DINPUT – ключевое слово;  s0name, s1name, s2name, s3name –

имена логических состояний “0”,  “1”, неопределенного состояния “X” и состояния высокого импеданса “Z”;  после имени каждого  состояния указывается значение времени переключения и сопротивления между выходным узлом и “землей” и источником питания.

   Источник питания +5 В,  подключаемый к интерфейсу Ц/А автоматически, имеет модель вида



.subckt   DIGIFPWR    GND

VDPWR         $G_DPWR     $G_DGND     5v

R1                   $G_DPWR     GND               1MEG

VDGND         $G_DGND     GND               0v

R2                   $G_DGND     GND               1MEG

.ends

   Модель источника  питания включается в библиотеку моделей цифровых компонентов один раз.

   2.  Логический  ТТЛ–элемент 2И–НЕ с открытым коллекторным выходом 133ЛА8

(рис. П5.2). По сравнению с моделью вентиля 133ЛА3 этот элемент имеет другие значения сопротивлений в состоянии “1”

.subckt   133LA8   A   B   NQ

U1   NAND(2)   $G_DPWR   $G_DGND   A   B   NQ    D_133   IO_133_C

.ends



Рис. П5.2. Логический элемент 2И–НЕ с открытым коллектором
 Модель входа/выхода имеет вид

.model IO_133_C UIO (drvh=50 drvl=50 AtoD=AtoD_133_C DtoA=DtoA_133_C)

Модель интерфейса А/Ц имеет вид

.subckt   AtoD_133_C   A   D

o1   A   $G_DGND   IN_133   Dgtlnet=D IO_133_C

.ends

Модель интерфейса Ц/А имеет вид

.subckt   DtoA_133_C    D    A

n1    A    $G_DGND    $G_DPWR    OUT_133_C   Dgtlnet=D IO_133_C

.ends

Модель интерфейса Ц/А имеет вид

.model    OUT_133_C    DINPUT (

+ s0name=0, s0tsw=3.5n,  s0rlo=7,    s0rhi=390,

+ s1name=1, s1tsw=5.5n,  s1rlo=200k, s1rhi=200k,

+ s2name=x, s2tsw=3.5n,  s2rlo=43,   s2rhi=106,

+ s3name=z, s3tsw=3.5n,  s3rlo=200k, s3rhi=200k)

   3. Двойной  4-входовый  коммутатор 564КП1.  Условное графическое отображение и функциональная схема  ИС  564КП1  приведены  на  рис. П5.3. Ниже представим его макромодель на языке программы PSpice:

.subckt  564KP1   V   A0   A1   X1   X2   X3   X4   Y1   Y2   Y3   Y4    X    Y

U1  BUFA(2)  $G_DPWR  $G_DGND  A0  A1   B0    B1   KP1SLCT_HC  IO_HC

U2  INVA(2)   $G_DPWR  $G_DGND  A0  A1   IB0  IB1  KP1SLCT_HC  IO_HC

U3  NORA(3,4)  $G_DPWR  $G_DGND   B0   B1   V     IB0   B1   V

+             B0    IB1   V      IB0  IB1   V

+            1Q0  1Q1  1Q2  1Q3  KP1MLTI_HC   IO_HC



U4   NORA(3,4)   $G_DPWR   $G_DGND    B0   B1   V     IB0   B1   V

+            B0  IB1   V     IB0  IB1   V

+           2Q0  2Q1  2Q2  2Q3  KP1MLTI_HC IO_HC

S1  X1  X  1Q0  0     K1

S2  X2  X  1Q1  0     K1

S3  X3  X  1Q2  0     K1

S4  X4  X  1Q3  0     K1

S5  Y1  Y  2Q0  0     K1

S6  Y2  Y  2Q1  0     K1

S7  Y3  Y  2Q2  0     K1

S8  Y4  Y  2Q3  0     K1

C1  X1  0  10p

C2  X2  0  10p

C3  X3  0  10p

C4  X4  0  10p

C5  Y1  0  10p

C6  Y2  0  10p

C7  Y1  0  10p

C8  Y2  0  10p

C9   X  0  10p

C10  Y  0  10p

.ends 564KP1

.model KP1SLCT_HC  UGATE

+       (tplhty=4ns     tplhmx=3ns      tphlty=4ns      tphlmx=3ns)

.model KP1STRB_HC  UGATE

+       (tplhty=11ns    tplhmx=24ns     tphlty=11ns     tphlmx=24ns)

.model KP1MLTI_HC  UGATE

+       (tplhty=17ns    tplhmx=35ns     tphlty=17ns     tphlmx=35ns)

.model K1 VSWITCH (VON=7.5 VOFF=2.8)



Рис. П5.3. Коммутатор 564КП1
   4. 12-разрядный ЦАП К594ПА1. Условное графическое обозначение и упрощенная функциональная схема ИС К594ПА1 приведены на рис.  П5.4. Разряды преобразуемых чисел подаются на входы 18,  17, ..., 7 и через буферы U1, ..., U12 коммутируют источники взвешенных токов G1, ..., G12. Источник постоянного тока IERR имитирует погрешность преобразования 0,2 мкА. Суммирование тока осуществляется с помощью управляемого источника тока GOUT, максимальный выходной ток равен 2,2 мА. Задержка преобразования имитируется с помощью задержек  буферов U1, ..., U12. Ниже приведено текстовое описание модели.

.subckt  594PA1   1   2   3   4   7   8   9   10   11   12   13   14   15   16   17   18   23

R21  1  2  9.95k

R19  3  4  5k

REF  23  0  5k

G1   30 0 VALUE= {V(1R)}

G2   30 0 VALUE= {V(2R)*0.5}

G3   30 0 VALUE= {V(3R)*0.25}

G4   30 0 VALUE= {V(4R)*0.125}

G5   30 0 VALUE= {V(5R)*0.0625}

G6   30 0 VALUE= {V(6R)*0.03125}

G7   30 0 VALUE= {V(7R)*0.015625}

G8   30 0 VALUE= {V(8R)*0.0078125}

G9   30 0 VALUE= {V(9R)*0.00390625}

G10  30 0 VALUE={V(10R)*0.001953125}

G11  30 0 VALUE={V(11R)*0.0009765625



G12  30 0 VALUE={V(12R)*0.00048828125

IERR 30 0 0.2uA

RI   30 0 1.0

GOUT  3 0 VALUE={V(30)*1.1mA}

R1   1R   0   1MEG

R2   2R   0   1MEG

R3   3R   0   1MEG

R4   4R   0   1MEG

R5   5R   0   1MEG

R6   6R   0   1MEG

R7   7R   0   1MEG

R8   8R   0   1MEG

R9   9R   0   1MEG

R10 10R  0  1MEG

R11 11R  0  1MEG

R12 12R  0  1MEG

U1   BUF   $G_DPWR   $G_DGND  18   1R   DN IO_N

U2   BUF   $G_DPWR   $G_DGND  17   2R   DN IO_N

U3    BUF   $G_DPWR   $G_DGND  16   3R   DN IO_N

U4    BUF   $G_DPWR   $G_DGND  15   4R   DN IO_N

U5    BUF   $G_DPWR   $G_DGND  14   5R   DN IO_N

U6    BUF   $G_DPWR   $G_DGND  13   6R   DN IO_N

U7    BUF   $G_DPWR   $G_DGND  12   7R   DN IO_N

U8    BUF   $G_DPWR   $G_DGND  11   8R   DN IO_N

U9    BUF   $G_DPWR   $G_DGND  10   9R   DN IO_N

U10  BUF   $G_DPWR   $G_DGND   9  10R   DN IO_N

U11  BUF   $G_DPWR   $G_DGND   8  11R   DN IO_N

U12  BUF   $G_DPWR   $G_DGND   7  12R   DN IO_N

.ends 594PA1

*

.model   DN   UGATE

.model   IO_N   UIO (drvh=0 drvl=0 AtoD=AtoD_N DtoA=DtoA_N)

.subckt   AtoD_N   A   D

o1   A   $G_DGND   DOUT_N Dgtlnet=D IO_N

.ends

.subckt   DtoA_N   D   A

n1   A   $G_DGND   $G_DPWR   DIN_N   dgtlnet=d IO_N

.ends

.model   DOUT_N   DOUTPUT (

+  s0name=0, s0vlo=-100, s0vhi=0.5,

+  s1name=1, s1vlo=2.5,  s1vhi=100,

+  s2name=x, s2vlo=0.5,  s2vhi=2.5)

.model   DIN_N   DINPUT (

+  s0name=0, s0tsw=1n, s0rlo=1,   s0rhi=1k,

+  s1name=1, s1tsw=1n, s1rlo=200, s1rhi=800,

+  s2name=x, s2tsw=1n, s2rlo=100, s2rhi=900,

+  s3name=z, s3tsw=1n, s3rlo=200, s3rhi=800)



Рис. П5.4. 12-разрядный ЦАП  К594ПА1
В приведенных фрагментах библиотеки моделей цифровых ИС не было необходимости вводить устройства контроля времени установки/удержания. С ними можно познакомиться, например, в библиотеке ТТЛ–логики 7400.slb в моделях декодера 7442A, счетчика 7490A или сдвигающего регистра 7491А.


Режим Layout Editor


 

Рис. 8.2. Окно Layout Editor

PCBoards вызывается автономно из Windows или из управляющей оболочки Schematics по команде Tools/Run PCBoards. После запуска PCBoards активизируется окно редактора Layout Editor (рис. 8.2).

В верхней части окна располагается строка меню, ниже – строка инструментов. Наиболее употребительные команды вызываются с помощью пиктограмм (табл. 8.3).

Таблица 8.3

Пиктограмма

Эквивалентная команда

Пиктограмма

Эквивалентная команда

File/New

View/In

File/Open

View/Out

File/Save

View/Area

File/Print

View/Fit

Edit/Cut

Draw/Line

Edit/Copy

Draw/Arc

Edit/Pust

Draw/Trace (Layout Editor)

Edit/Undo

Draw/Add Via (Layout Editor), немедленное расположение вывода (Footprint Editor)

Edit/Redo

Edit/Attributes

View/Redraw

Layer Box, Style Box

В табл. 8.4 приведем  краткое описание команд редактирования печатных плат (режим Layout Editor).

Таблица 8.4

Команда

  Назначение

Меню File

New...   

Очистка рабочего окна для создания новой платы

Open...

Открытие (загрузка) существующего файла платы для последующего редактирования (расширение имени .pca)

Close

Закрытие текущего окна

Save  (Ctrl+S )

Сохранение внесенных изменений в текущем файле

Save As...

Сохранение внесенных изменений в новом файле, имя которого указывается по дополнительному запросу

Job Setup...

Конфигурация вывода на принтер/плоттер, фотоплоттер и станки с ЧПУ. Указывается перечень слоев, включаются фильтры (для вывода сегментов трасс, переходных отверстий и т. п.), масштаб изображения и др.

Print...  (Ctrl+P)

Вывод на периферийные устройства одной или более страниц текущей платы

Photoplot

Конфигурация фотоплоттера Gerber и создание управляющего файла

NC Drill

Задание формата и создание управляющего файла для станка с ЧПУ

Export

Создание файла печатной платы в текстовом формате DXF для передачи в систему AutoCAD (текст представляется в растровой форме, для залитых краской фигур рисуется только контур)

Netlist

Ввод/вывод списков соединений:

 

Load...

Загрузка файла списка соединений схемы (.nlf) для упаковки на плату корпусов компонентов

 

Import PADS...

Загрузка файла списка соединений в формате PADS (.pad)

 

Browse

Просмотр файлов списков соединений

 

Write...

Запись в файл списка соединений платы(.bnl)

Reports...

Создание и печать файлов отчетов:

 

Bill of Materials

Перечень компонентов (.bom)

 

Component Locations

Расположение компонентов (.loc)

 

Aperture Information

Список апертур фотоплоттера (.apr)

 

Hole–Drill Schedule

Список отверстий (.hdr)

 

Footprint Statistics

Перечень корпусов (.fpr)

 

Unrouted Nets

Перечень неразведенных цепей (.ucr)

 

DRC errors

Нарушения технологических ограничений (.drc)

 

Attributes

Перечень назначенных пользователем атрибутов (.atr)

 

Statistics

Общая информация о плате (.sta)

Current Messages... (F10)

Сообщения об ошибках

Exit  (Alt+F4)

Завершение работы с графическим редактором

Файл 1, ... , Файл 4

Список последних четырех загруженных файлов

Меню  Edit

Undo  (Ctrl+Z)

Восстановление последнего удаленного объекта (откат назад)

Redo (Ctrl+Y)

Последовательное восстановление предыдущих операций Undo (откат вперед)

Cut  (Ctrl+X, Del)

Удаление объекта(ов) на плате и помещение его в буфер обмена

Copy  (Ctrl+C, Ctrl+Ins)

Копирование объекта(ов) в буфер для последующего размещения на плате по команде Paste

Paste  (Ctrl+V, Shift+Ins)

Размещение на плате последнего объекта, занесенного в буфер по команде Cut или Copy

Delete  (Del)

Удаление с платы выбранного объекта(ов)

Find... (Ctrl+Q)

Поиск объектов по заданным именам/значениям атрибутов

Move Delta...  (Ctrl+D)

Перемещение выбранных объектов на заданное расстояние

Move by RefDes...  (Ctrl+E)

Выбор имени или позиционного обозначения компонента из списка и буксировка к новому расположению

Rotate (Ctrl+R)

Поворот выбранного объекта по часовой стрелке (угол поворота устанавливается по команде Tools/Options)

Flip Sides (Ctrl+F)

Перенос компонента, расположенного на слоях [Top] и [Bottom],  на противоположную сторону платы

Mirror

Зеркальное отображение графических объектов и текста относительно вертикальной оси

Disconnect

Отсоединение одного или нескольких выводов компонентов от электрической цепи

Attributes... (F11 или двойной щелчок на выбранном объекте)

Редактирование атрибутов выбранного объекта (позиционного обозначения компонента,  ширины трассы и т. п.)

Меню Draw

Repeat  ([Пробел])

Повторение предыдущей команды

Arc

Рисование дуги

Circle

Рисование окружности

Line

Рисование линии

Polyline

Рисование линейно-ломаной линии

Rectangle

Рисование прямоугольника

Add Text...

Ввод текста (символы кириллицы не допускаются)

More Graphics

Альтернативные способы рисования дуг, линий и прямоугольников

Add Component (Ctrl+G)

Расположение на сигнальном слое [Top] или [Bottom] компонента, выбранного в Package Library (если компонент имеет несколько вариантов корпуса, он выбирается в Footprint Library)

Connection (Ctrl+W)

Проведение электрической связи между выводами компонентов. Имя новой образуемой цепи вводится по дополнительному запросу

Trace (Ctrl+T)

Ввод сегментов печатных проводников и переходных отверстий при переходе с одного слоя на другой (нажатием клавиши Tab)

Add Hole...

Размещение сквозного отверстия (одновременно указывается диаметр и допустимый зазор). Графика отверстия наносится на слой [DrillSymbols]

Add Via...

Размещение межслойного переходного отверстия между парой сигнальных слоев. Слои объединяются в пары по команде Configure/Layer Pairs, а стиль переходного отверстия устанавливается по команде Configure/Styles/Trace

Areafill

Создание полигона (металлизированной области) на сигнальном слое

Void

Создание выреза внутри полигона

Keepout

Определение границы области (барьера), внутри которой не могут быть размещены проводники, компоненты и переходные отверстия

Board Signal Keepin

Определение границы области, внутри которой могут быть размещены проводники, компоненты и переходные отверстия (размещается на слое [BoardSigKeepin])

Меню View

Redraw (Ctrl+L)

Перечерчивание экрана

Fit  (Ctrl+N)

Изменение масштаба изображения так, чтобы на полном экране разместились все видимые в рабочей области объекты

In  (Ctrl+I)

Увеличение масштаба изображения (центр поля зрения указывается курсором)

Out  (Ctrl+O)

Уменьшение масштаба изображения (центр поля зрения указывается курсором)

Previous (Ctrl+U)

Возвращение к предыдущему масштабу изображения

Area (Ctrl+A)

Вывод на весь экран окаймленной части изображения

Pan-New Center (F12)

Расположение видимой области платы симметрично относительно точки расположения курсора без изменения масштаба

Highlight Net(s)

Выделение одной или нескольких выбранных цепей пунктирными линиями

Clear Highlight

Отмена выделения цепей, выбранных по команде

Highlight Net(s)

Меню Configure

Styles (переключение в окне Style Box)

Задание имен и характеристик стилей  графических объектов (в дальнейшем их характеристики могут изменяться):

Lines&Arc...

Линии и дуги характеризуются шириной

Text... (F6)

Текст характеризуется высотой и шириной символов, привязкой и углом наклона

Trace... (F7)

Трасса характеризуется шириной, зазором и именем стека контактных площадок переходных отверстий

Snap Grid... (F2)

Задание шага сетки (Grid Spacing), ее видимости (Display) и привязка объекта к ближайшему узлу сетки (Snap)

Trace Placement Mode

Задание ориентации сегмента трассы: Orthogonal – ортогонально, Ortho/45 – под углом, кратным 450, Any Angle – под произвольным углом

Layers...

Просмотр списка слоев (* означает текущий слой, S – сигнальный слой, G – слой графики, D – видимый, P – защищенный от записи)

Layer Pairs...

Образование пар слоев (переход трасcы на спаренный слой осуществляется нажатием клавиши Tab)

Layer Display... (F3)

Выбор текущего активного слоя, одного или нескольких видимых слоев, изменение их цвета

Padstacks... (F4)

Просмотр и редактирование стеков контактных площадок, помещенных в библиотеку Padstack Library. Имя загруженной библиотеки просматривается по команде Library/Setup и изменяется по команде Library/Load Padstacks

Drill Symbols...

Просмотр символов отверстий (их параметры задаются по команде File/NC Drill/Tools)

Ratsnest Display...

Просмотр логических соединений одной или нескольких цепей

Select Filter... (F5)

Выбор фильтров для визуализации объектов (Pins – выводы компонентов, Trace Segments – сегменты трасс, Vias – переходные отверстия, Areafills – полигоны, Keepouts – барьеры, Text – текст, Holes – отверстия, Placement Center – выбор компонента щелчком по его точке привязки, Logical Connections – визуализация логических соединений, Graphics/Other – разрешение выбора линий, дуг и окружностей)

Меню Tools

Compare To Netlist...

Проверка соответствия базы данных печатной платы списку соединений схемы (в форматах Schematics или PADS). При выявлении различий возможна коррекция схемы или платы

Browse Forward ECO Log...

Просмотр файла прямой корректировки платы

Schematics

Загрузка в Schematics файла схемы, соответствующей текущей плате

CCT: Setup

Задание параметров стратегии трассировки, применимой к плате в целом: ширина проводников и зазоры проводник–проводник, проводник–переходное отверстие/контактная площадка, устанавливаемые по умолчанию, размеры сетки трассировки проводников и размещения переходных отверстий для всех или индивидуальных слоев, техника трассировки (starburst, daisychain), способы подключения источников сигнала и нагрузок, максимальная длина сегмента проводника и др.

CCT: Net Rules

Задание параметров стратегии трассировки, относящихся к отдельным цепям или группам цепей (см. разд. 8.2)

CCT: Edit Do File

Редактирование файла команд автоматической трассировки

CCT: Autoroute

Вызов автотрассировщика SPECCTRA

CCT: Read Routes

Загрузка файла с результатами трассировки (.rte)

Reannotate...

Автоматическое упорядочение позиционных обозначений компонентов, начиная с верхней стороны платы

DRC

Проверка соблюдения зазоров на всей плате или на выбранных слоях

Optimize Rats

Оптимизация расположения линий логических соединений с показом наиболее эффективного способа трассировки проводников

Measure (Ctrl+M)

Измерение расстояния между двумя точками на плате

Edit PADS Mapping File...

Редактирование файла списка соответствий имен корпусов в программах PADS  и PCBoards (.ymp)

Options... (F8)

Задание параметров:

Units of Measure

Система единиц (mil, mm)

Interaction Preferences

Радиус захвата, угол поворота объектов, перемещение объектов вместе с проводниками, оперативный контроль зазоров и др. параметры

Zoom

Степень увеличения/уменьшения изображения

Pan Delta

Степень перемещения изображения окна с помощью линейки прокрутки

AutoPan

Минимальное время, в течение которого курсор  должен находиться в новом положении, чтобы переместился корпус компонента

AutoSave

Интервал автосохранения изображения

Repaint

Параметры, определяющие способ регенерации изображения

Save Settings

Запись параметров в файл msim.ini

Меню Library

Setup...

Задание пути доступа к библиотекам и загрузка библиотек Package Library, Footprint Library

Packaging

Редактирование библиотеки Package Library

Update Packaging...

Замена информации об упаковке компонентов в текущей плате данными,  выбранными в Package Library

Footprint Editor... (F9)

Вызов редактора типовых корпусов Footprint Library

Update Footprints...

Замена типовых корпусов в текущей плате данными, выбранными в Footprint Library

Load Padstacks

Загрузка файла контактных площадок Padstacks (.psl)

Export Padstacks

Добавление нового или измененного определения контактной площадки во внешнюю библиотеку Padstacks Library

Меню Window

Close

Закрытие текущего окна

Arrange

Упорядочение размещения открытых окон

1 <заголовок окна>

Список открытых окон

.    .    .    .

[9 <заголовок окна>]

[Дополнительные окна]

Меню Help

 

Contents

Вывод списка разделов встроенной инструкции

Search for Help On...

Поиск статьи по одной или нескольким первым буквам ключевого слова

Glossary

Предметный указатель

Menu Commands

Вывод инструкции о всех командах

Toolbar Shortcuts

Список кнопок панели инструментов

Keyboard Shortcuts

Вывод списка назначений функциональных клавиш и комбинаций клавиш

Technical Support

Информация о службе сервиса корпорации MicroSim

Procedures

Вывод правил настройки конфигурации

How to Use Help

Вывод кратких указаний по работе со встроенной инструкцией

About PCBoards...

Вывод номера версии программы и ее регистрационного номера

<
Существует несколько способов начать разработку печатной платы. Простейший состоит в создании списка соединений схемы и использовании конфигурации PCBoards по умолчанию. Для более сложных плат необходимо вводить дополнительные слои и настраивать конфигурацию программы в соответствии с особенностями платы. Не существует строго определенного порядка выполнения отдельных операций по разработке печатной платы. Однако полезно придерживаться некоторой определенной последовательности. Опишем вкратце три ситуации.

1. Разработка двуслойной печатной платы, схема которой создана в Schematics. Простейший способ начать разработку печатной платы – перенести информацию о компонентах и электрических соединениях из схемы на двуслойную печатную плату. В общем случае выполняются следующие шаги:

а) в Schematics создается принципиальная схема, на которой задаются правила размещения компонентов (с помощью атрибутов COMP_X, COMP_Y, COMP_ANGLE, COMP_LAYER определяются координаты на плате некоторых компонентов, угол ориентации и имя слоя) и правила трассировки цепей (с помощью атрибутов NET_TRACE_WIDTH, NET_CLEARANCE, NET_PADSTACK, NET_PRIORITY определяют ширину проводника и допустимый зазор, имя файла контактных площадок и приоритет разводки);

б) в Schematics упаковывают схему и создают файл списка соединений по команде Tools/Annotate, включая опцию Package and Assign Reference Designators;

в) в PCBoards загружают файл списка соединений по команде File/Netlist/Load (или загружают PCBoards непосредственно из Schematics по команде Tools/Run PCBoards), в результате на экран переносятся изображения корпусов и электрические связи (рис. 8.3);

г) в PCBoards наносят физические границы печатной платы по командам меню Draw на слое [BoardOutline] и границы области разводки в виде многоугольника по команде Draw/Board Signal Keepin на слое сигналов.

Компоненты затем вручную размещают на плате с помощью операций перемещения (помечая их и “буксируя” мышью), поворота (по команде Edit/Rotate



или нажатием Ctrl+R) и переноса компонентов (с планарными и штыревыми выводами) на нижнюю сторону платы по команде Edit/Flip

(или нажатием Ctrl+F).





Рис. 8.3. Результаты упаковки схемы на печатную плату

Замечание. Все эти действия в PCBoards производятся более удобно, чем в версиях P-CAD 4.5 – 8.5 для DOS. Однако после  выпуска в начале 1996 г. версии P-CAD для Windows, которая будет называться системой ACCEL EDA (или Sequoia), ситуация может измениться.

2. Разработка двуслойной печатной платы в отсутствие схемы. В отсутствие чертежа схемы разработка двуслойной печатной платы производится с помощью PCBoards в следующем порядке (в этом случае нас устраивают параметры системы по умолчанию):

1) по командам меню Draw на слое [BoardOutline]  наносят физические границы печатной платы произвольной формы и по команде Draw/Board Signal Keepin вычерчивают границы области разводки в виде многоугольника (слой сигналов активизируется автоматически);

2) размещают компоненты на плате по команде Draw/Add Component;

3) устанавливают электрические соединения по команде Draw/Connection.

3. Разработка многослойной печатной платы. Для более сложных плат их структура и параметры должны быть скорректированы пользователем до размещения компонентов и трассировки проводников. Например, может потребоваться введение дополнительных слоев платы и других типов стеков контактных площадок. Предположим, что в Schematics предварительно создана принципиальная схема, тогда последовательность действий в PCBoards может быть такой:

1)  загружают файл списка соединений по команде File/Netlist/Load;

2) по командам меню Draw на слое [BoardOutline]  наносят физические границы печатной платы и по команде Draw/Board Signal Keepin вычерчивают границы области разводки;

3) если необходимо, по команде Configure/Padstacks вводят определения стеков контактных площадок (включая допустимые зазоры), подсоединяемых к выводам компонентов и переходным отверстиям;

4) задают конфигурацию слоев и объединяют слои в пары по командам Configure/Layers, Configure/Layer Pairs;

5) определяют параметры графики, текста, трасс проводников, включая правила контроля зазоров и имена стеков контактных площадок, подключаемых к переходным отверстиям трасс, по команде Configure/Styles;

6) присваивают имя файлу базы данных платы по команде File/Save или File/Save As.

При необходимости создают металлизируемые полигоны, зоны запрета трассировки и пояснительные надписи. Трассировка печатных проводников выполняется вручную по команде Draw/Trace или в автоматическом режиме и интерактивно с помощью программы SPECCTRA (см. разд. 8.2).

Существующая печатная плата загружается в PCBoards по команде File/Open.


Следящая система


Программа PSpice разработана для моделирования нелинейных электрических цепей, заданных принципиальными электрическими схемами. Но это не препятствует моделированию систем автоматики, заданных функциональными схемами. Необходимо лишь каждому элементу функциональной схемы поставить в соответствие схему замещения из компонентов, допустимых в PSpice. Наиболее удобно это делать с помощью линейных и нелинейных управляемых источников (см. 3.2.4, 3.2.5).

Проиллюстрируем эту методику на примере следящей системы с отрицательной обратной связью, показанной на рис. 3.24, а, где передаточная функция

.  Моделирование проведем двумя способами.

1. Сначала с помощью старой версии PSpice все три блока функциональной схемы – сумматор, усилитель с коэффициентом передачи K

и фильтр с передаточной функцией G(s)

– реализуем с помощью линейных источников напряжения EE, EK, EZ, управляемых напряжениями, как показано на рис. 3.24, б. Источник сигнала имитируется независимым источником напряжения

VX. Параллельно каждому источнику включены сопротивления RX, RE, RK, RZ, чтобы в схеме замещения не было разомкнутых контуров (это требование PSpice, связанное с ошибками в программе контроля топологии). Потенциалы узлов в схеме на рис. 3.24, б соответствуют значениям переменных в одноименных точках функциональной схемы на рис. 3.24, а. Приведем задание на расчет частотных характеристик этой системы:

СЛЕДЯЩАЯ СИСТЕМА С ТРЕХЗВЕННОЙ RC-ЦЕПЬЮ

.PARAM  K=1  A=20  TAU=0.1

VX  1  0  AC=1

RX  1  0  1

*EE  0  2  VALUE={V(1)-V(4)}          ; ОС замкнута

EE  0  2  VALUE={V(1)}                    ; ОС разомкнута

RE  2  0  1

EK  0  3  VALUE={K*V(2)}

RK  3  0  1

EL  4  0  LAPLACE {V(3)} {A/((1+TAU*s)*(1+TAU*s)*(1+TAU*s))}

RL  4  0  1

.AC  LIN  500  0.01 10

.WATCH  AC  V(2)  V(3)  V(4)

.PROBE

.END

Рис. 3.24.  Функциональная схема следящей системы (а), ее модель для старой версии PSpice (б) и графическое изображение в Schematics (в)

Здесь предусмотрено два варианта сумматора EE


– для моделирования следящей системы с замкнутой и разомкнутой обратной связью. Последнее используется для исследования устойчивости следящей системы с помощью критерия Найквиста. Годограф коэффициента передачи разомкнутой системы для иллюстрации показан на рис. 3.25: точка на комплексной плоскости с координатами (–1, j0) годографом не охватывается, поэтому рассматриваемая система устойчива.



Рис. 3.25. Годограф коэффициента передачи разомкнутой следящей системы
2. В Design Center 6.2 больше не нужно подсоединять фиктивные резисторы к  управляющим входам функциональных источников – в результате функциональная схема следящей системы (рис. 3.24, а), нарисованная в Sсhematics, имеет естественный вид (рис. 3.24, в). В то же время текстовое задание на моделирование, помещающееся теперь в трех файлах с расширениями .cir, .net и .als, изменилось незначительно:

Файл control.cir

* C:\MSIM62J\EXAMPLES\CONTROL.SCH

* Schematics Version 6.2j - December 1995

* Fri Feb 02 16:17:26 1996

.PARAM    A=20   TAU=0.1   K=1

** Analysis setup **

.ac   LIN   501   0.01   10

* From [SCHEMATICS NETLIST] section of msim.ini:

.lib   C:\MSIM62J\LIB\MY.LIB

.lib   nom.lib

.INC  "CONTROL.net"

.INC  "CONTROL.als"

.probe

.END

Файл control.net

* Schematics Netlist *

V_V1         1    0   DC   0V   AC   1V

E_DIFF1   2   0   VALUE {V(1,4)}

E_GAIN1  3   0   VALUE {{K} * V(2)}

E_LAPLACE1    4   0   LAPLACE {V(3)} {(A)/((1 + s*TAU)*

+  (1+s*TAU)*(1+s*TAU))}

Файл control.als

* Schematics Aliases *

.ALIASES

V_V1                V1(+=1 -=0 )

E_DIFF1          DIFF1(OUT=2 IN2=1 IN1=4 )

E_GAIN1          GAIN1(OUT=3 IN=2 )

E_LAPLACE1          LAPLACE1(OUT=4 IN=3 )

_    _(1=1)

_    _(2=2)

_    _(4=4)

_    _(3=3)

.ENDALIASES

В заключение отметим, что разнообразным применениям программы PSpice посвящены работы [35, 49, 55]. В статье [36] обсуждаются специфические вопросы исследования переходных процессов в коммутируемых цепях.Практические советы по моделированию регулярно помещаются в журнале “The Design Center Source”, выпускаемом корпорацией MicroSim.


Статистический анализ


Статистический анализ по методу Монте-Карло (Monte Carlo). Он производится при статистическом разбросе параметров, описанных по директиве .MODEL. Случайное значение параметра x рассчитывается по формуле

где

 – номинальное значение параметра, указанное в директиве .MODEL;
 – относительный разброс параметра x;
 – центрированная случайная величина, принимающая значения на отрезке (–1, +1). 

Случайные величины x создаются с помощью генераторов случайных чисел. Величина относительного разброса каждого параметра

 и закон распределения случайной величины
 задаются опцией <спецификация случайного разброса параметра> директивы .MODEL, которая имеет вид

[DEV[/<генератор #>][/<закон распределения>] <разброс>[%]]

[LOT[/<генератор #>][/<закон распределения>] <разброс>[%]]

Параметр <генератор #> указывает номер генератора случайных чисел (от 0 до 9). С его помощью создаются коррелированные параметры. Случайные параметры, для которых не указаны номера генераторов случайных чисел, образуются с помощью индивидуальных независимых генераторов; они, естественно, являются некоррелированными. Для расчета значений разбросов параметров DEV и LOT используются различные генераторы, имеется 10 генераторов для параметров DEV и столько же для параметров LOT.

В простейшем случае номера генераторов случайных чисел не указываются. Тогда параметры, имеющие опции DEV, получают независимые, а параметры, имеющие опции LOT, – коррелированные случайные значения (последнее характерно для имитации разброса параметров партий изделий).

Приведем примеры

.MODEL  RLOAD  RES  (R=1  DEV/GAUSS  5%  LOT/UNIFORM  10%)

.MODEL  CMOD  CAP  (C=1  DEV/4/GAUSS  1%

+   TC1  DEV/4/USER1  10%  LOT/5  2%)

В программе имеются генераторы случайных величин с двумя стандартными законами распределения:

UNIFORM – равновероятное распределение на отрезке (–1, +1);

GAUSS – гауссовое распределение на отрезке (–1, +1) с нулевым средним значением и среднеквадратическим отклонением

=0,25 (т.
е. создается усеченное гауссовое распределение на интервале ±4
).

Кроме того, пользователь может задать нестандартный закон распределения случайных величин
 с помощью директивы

.DISTRIBUTION <имя> <<
> <P>>*

Здесь параметр <имя> назначает имя закону распределения, который задается в табличной форме. Пары чисел задают значения случайной величины
 и соответствующую вероятность P. Всего может быть задано до 100 точек. Все значения
 должны находиться на интервале (–1, +1). Координаты точек должны указываться в порядке возрастания
 (допускается повторять предыдущие значения
). Между соседними точками производится линейная интерполяция.

Приведем пример задания бимодального распределения, график которого изображен на рис. 3.19

.DISTRIBUTION  BI_MODAL  (-1,1)  (-.5,1)  (-.5,0)  (.5,0)  (.5,1)  (1,1)





Рис. 3.19. Бимодальный закон распределения
Случайным параметрам, закон распределения которых не задан явно в директиве .MODEL, по умолчанию назначается распределение, указанное в опции DISTRIBUTION директивы .OPTIONS.

Статистические испытания по методу Монте-Карло проводятся при расчете режима по постоянному току, переходных процессов или частотных характеристик по директиве

.MC <n> [ DC ] [ TRAN ] [ AC ] <имя выходной переменной>

+ <обработка результатов> [ LIST ]

+ [ OUTPUT <cпецификация>] [ RANGE(<минимум>,<максимум>) ]

+ [ SEED=<значение>]

Параметр <n> задает количество статистических испытаний. Ключевые слова DC, TRAN, AC указывают вид анализа. После них указывается <имя выходной переменной>, подлежащей статистической обработке.

При статистическом анализе предусматривается разнообразная статистическая обработка результатов моделирования, характер которой определяется с помощью опции <обработка результатов>, принимающей одно из следующих значений:

YMAX – расчет максимального отклонения текущей реализации от номинальной;



MAX – расчет максимального значения в каждой реализации;

MIN – расчет минимального значения в каждой реализации;

RISE_EDGE(<значение>) – определение момента первого пересечения заданного уровня снизу вверх (значение уровня задается в круглых скобках; в начале расчета значение реализации должно быть меньше этого уровня);

FALL_EDGE(<значение>) – определение момента первого пересечения заданного уровня сверху вниз (значение уровня задается в круглых скобках; в начале расчета значение реализации должно быть больше этого уровня).

По необязательному ключевому слову LIST на печать выводится список значений всех случайных параметров во всех реализациях.

В отсутствие ключевого слова OUTPUT характеристики цепи, указанные в директивах .PRINT, .PLOT или .PROBE, выводятся на печать или передаются в постпроцессор Probe один раз для номинального значения случайных параметров. С помощью ключевого слова OUTPUT их можно вывести требуемое число раз, задавая после этого слова следующие параметры:

ALL – во всех реализациях;

FIRST <m> – для первых m реализаций;

EVERY <m> – на каждой m-й реализации;

RUNS <m
>,<m
>... – для реализаций с указанными номерами m
, m
...

После ключевого слова RANGE определяется диапазон значений, в пределах которого статистически обрабатывается выходная переменная. Если вместо минимального или максимального значения этого диапазона указать звездочку “*”, то граница диапазона примет значение -
 или +
.

Переменная SEED задает начальное значение датчика случайных чисел. Оно может принимать нечетные значения в диапазоне 1...32 767 [6]. По умолчанию SEED=17 533. При одинаковых значениях SEED результаты статистического моделирования при последующих запусках программы повторяются.

Приведем примеры:

.MC   5   TRAN  V(5)  YMAX   RANGE(-1,*)

.MC   100  AC  VM(3)  YMAX   LIST  OUTPUT=ALL

В старых версиях программы PSpice количество испытаний n ограничено 2000 при выводе данных в текстовый файл .out и 100 при наличии директивы .PROBE.   В Design Center 6.2 – не более 1000 испытаний.



Расчет чувствительности и наихудшего случая (Worst Case). Для этого применяется директива

.WCASE  [ DC ] [ TRAN ] [ AC ] <имя выходной переменной>

+ <обработка результатов> [<опции>]*

Виды анализа и параметры <имя выходной переменной>, <обработка результатов> такие же, как у директивы .MC.

Проводятся расчеты характеристик цепи при вариации параметров, имеющих опции DEV или LOT. Сначала по очереди изменяются все указанные параметры, что позволяет оценить параметрическую чувствительность характеристик. Измененные значения параметров рассчитываются по формуле

Новое значение = номинальное значение · (1 + RELTOL),

если не указана опция BY (см. ниже). В заключение рассчитываются характеристики цепи при одновременном изменении всех параметров по методу наихудшего случая. При этом значения параметров определяются по формуле

Новое значение = номинальное значение  · (1 ±
),

Опции имеют следующий смысл.

Ключевое слово OUTPUT ALL разрешает передавать в выходной файл характеристики цепи, указанные в директивах .PRINT, .PLOT и .PROBE, при всех комбинациях параметров при расчете чувствительности. В его отсутствие передаются данные лишь о номинальном режиме и наихудшем случае.

После ключевого слова RANGE(<минимум>,<максимум>) указывается диапазон значений, в пределах которого производится статистическая обработка выходной переменной. Если вместо минимального или максимального значения этого диапазона указать звездочку “*”, то граница диапазона принимает значение  –
 или +
.

Ключевые слова HI и LOW задают направление изменения параметров компонентов относительно номинальных значений при расчете наихудшего случая. Если определяется функция YMAX или MAX, по умолчанию назначается ключевое слово HI (положительное приращение), в противном случае – LOW (отрицательное приращение).

Ключевые слова VARY DEV, VARY LOT, VARY BOTH определяют характер случайного разброса параметров.


По умолчанию назначается параметр VARY BOTH и случайный разброс задается обоими параметрами DEV и LOT; два других ключевых слова означают случайный разброс, определяемый только одним параметром DEV или LOT соответственно.

Ключевые слова BY RELTOL, BYE <значение> задают относительное изменение параметров при расчете чувствительности. По умолчанию их изменение равно значению параметра RELTOL, указанному в директиве .OPTIONS.

Ключевое слово DEVICES(<список типов компонентов>) назначает типы компонентов, параметры которых изменяются при расчете чувствительности и наихудшего случая. Список типов компонентов состоит из первых символов их имен, перечисляемых слитно, без пробелов. Например, вариация параметров только резисторов (R), конденсаторов (C) и биполярных транзисторов (Q) назначается с помощью ключевого слова DEVICES RCQ.

Приведем примеры директивы .WCASE

.WCASE  DC  V(4,5)  YMAX

.WCASE  TRAN  V(1)  FALL_EDGE(3.5V)  VARY  BOTH  BY  RELTOL  DEVICES  RL

В задании на моделирование может быть либо директива .MC, либо .WCASE, но не обе вместе. Так моделируется наихудший случай для чисто аналоговых устройств.

Расчет наихудшего случая для смешанных устройств. При исследовании цифровых устройств наиболее актуально оценить влияние случайного разброса временных задержек цифровых ИС на характеристики устройства в целом. Сначала исследуются характеристики при номинальных значениях задержек, а затем интересно проверить работоспособность устройства при вариации задержек в заданных пределах. В версии PSpice 4 директива .WCASE для смешанных аналого-цифровых устройств неприменима, и поэтому приходилось одновременно всем задержкам присваивать минимальные, номинальные или максимальные значения. Эта информация полезна, но недостаточна, так как неприятности могут возникать не только при синхронных отклонениях задержек, но и при определенном сочетании максимальных отклонений отдельных варьируемых задержек. Эту возможность представляет директива .WCASE для Design Center  5.1.

Расчет наихудшего случая при моделировании смешанных аналого-цифровых устройств отличается от моделирования чисто аналоговых устройств. При моделировании по методу наихудшего случая аналого-цифровых устройств аналоговые секции моделируются при номинальных значениях параметров. В цифровых компонентах варьируются задержки, имеющие в моделях динамики ненулевые минимальные и максимальные значения (см. разд. 6.2). При подаче на вход цифрового компонента воздействия выходной узел имеет неопределенное логическое состояние на интервале времени, равном разности между максимальной и минимальной задержкой. После прохождения сигнала через второй цифровой компонент длительность неопределенного состояния увеличивается и т. д. Это так называемое моделирование “с нарастающей неопределенностью”.


Структура задания на моделирование


Задание на моделирование для программы PSpice заносится в текстовые файлы. При графическом вводе схем с помощью программы Schematics создаются три файла задания с одним и тем же именем и расширениями имени .cir, .net и .als. При составлении этого задания непосредственно с помощью текстового редактора достаточен  один файл .cir. На бумаге составляется принципиальная схема, и  присваиваются имена всем ее узлам (при графическом вводе с помощью Schematics это делать необязательно). Имена узлов могут быть целыми числами от 0 до 9990 или алфавитно-цифровыми символами длиной не более чем 131 символ. В качестве этих символов используются буквы латинского алфавита от A до Z, цифры 0, 1, ..., 9 и знаки “$”, “_”, “*”, “/”, “%”. Стандартное обозначение ряда узлов приведено в табл. 3.1.

Таблица 3.1

Имя узла

Напряжение/уровень

Описание 

0

0 В

Аналоговая “земля”

$G_CD4000_VDD

5 В

Источник питания к-МОП ИС

$G_CD4000_VSS

0 В

$G_DPWR

5 В

Источник питания ТТЛ ИС

$G_DGND

0 В 

$G_ECL_10K_VEE

-5,2 В

Источник питания ЭСЛ 10К ИС

$G_ECL_10K_VCC1

0 В

$G_ECL_10K_VCC2

0 В

$G_ECL_100K_VEE

-4,5 В

Источник питания ЭСЛ 100К ИС

$G_ECL_100K_VCC1

0 В

$G_ECL_100K_VCC2

0 В

$D_HI

“1”

Логическая “1”

$D_LO

“0”

Логический “0”

$D_X

“X”

Неопределенное логическое состояние X

$D_NC

Не подключенный к схеме вывод цифрового компонента

Логические состояния цифровых узлов $D_HI, $D_LO, $D_X поддерживаются постоянными независимо от того, с чем они соединены. Узел $D_NC применяется для обозначения неиспользуемых выводов (аббревиатура NC означает Not Connected). 

При ссылке на цифровые имена узлов они заключаются в круглые скобки, например V(6) – потенциал узла 6. Имена узлов в виде алфавитно-цифровых символов при ссылках на них заключаются в квадратные скобки [ ], чтобы отличить их от имен компонентов.
Например, потенциал узла IN обозначается как V([IN]), а V(I2) –напряжение на источнике тока I2. Заметим, что в программе Probe при ссылке на алфавитно-цифровые имена узлов квадратные скобки не нужны, они отсутствуют и в выходном файле с расширением .out.

В программе существует соглашение, что все узлы, имена которых начинаются с символов $G_, являются глобальными, например узел $G_POS. Глобальные узлы используются в схемах, имеющих макромодели. Глобальные узлы с одинаковыми именами автоматически соединяются в основной цепи и во всех макромоделях. С их помощью удобно прокладывать цепи питания, синхронизации и т. п. (узел “земля” 0 всегда является глобальным). Глобальные узлы с произвольными именами назначаются с помощью директивы .GLOBAL (см. разд. 3.3).

После именования узлов составляют задание на моделирование, которое заносится в файл. Имя файла произвольное, в качестве расширения имени рекомендуется использовать .cir, воспринимаемое программой PSpice по умолчанию. Первая строка файла – строка заглавия, которая затем выводится в виде заголовка в выходном файле (в ней может быть помещен любой текст, не содержащий кириллицу). Строки комментариев содержат символ “*” в первой позиции (в них допускается использование и кириллицы). Конец любой строки после знака “;” также воспринимается как комментарий. Последняя строка файла .END. Порядок ввода промежуточных строк значения не имеет, за небольшим исключением:

– строка описания функции .FUNC должна быть помещена до ссылки на нее;

– директива .OPTIONS NOECHO запрещает запись в выходной файл расположенной после нее части описания схемы.

Строка продолжения начинается с символа “+” в первой позиции. Число пробелов между операторами в строке произвольное. Пробелы и запятые или знаки равенства эквивалентны.

Внимание! Программа PSpice не различает большие и малые буквы.

В одном файле можно объединить задания на моделирование нескольких цепей; каждое задание начинается со своего заголовка и заканчивается директивой .END.



При наличии ошибок в задании на моделирование, обнаруженных на этапе его  трансляции или при выполнении моделирования, на экран и в выходной файл с расширением имени .out выводятся сообщения об ошибках, основные из которых перечислены в Приложении 2.

Предложения входного языка программы PSpice делятся на описания компонентов и директивы.

Описанием компонента

считается любая строка, не начинающаяся с символа “.” (кроме первой строки и строк комментариев и продолжений). Описание компонента имеет следующую структуру:

<имя компонента> <номера двух или более узлов> [<имя модели>]

+ <числовые данные>

Имя компонента состоит из последовательности символов латинского алфавита и цифр, общая длина имени не должна превосходить 131 символа (рекомендуется не более 8 символов). Первый символ – одна из букв латинского алфавита от A до Z, далее в любом порядке –  алфавитно-цифровые символы и знаки “$”, “_”, “*”, “/”, “%”. Первый символ имени компонента определяет его тип, например R1, ROUT, Q12 (табл. 3.2).

Таблица 3.2

Первый символ имени

Тип компонента

B

Арсенид-галлиевый полевой транзистор (GaAsFET) с каналом n-типа

C

Конденсатор

D

Диод

E

Источник напряжения, управляемый напряжением (ИНУН)

F

Источник тока, управляемый током (ИТУТ)

G

Источник тока, управляемый напряжением (ИТУН)

H

Источник напряжения, управляемый током (ИНУТ)

I

Независимый источник тока

J

Полевой транзистор с управляющим p– n-переходом (FET)

K

Связанные индуктивности и линии передачи

L

Индуктивность

M

МОП-транзистор (MOSFET)

N

Аналого-цифровой преобразователь на входе цифрового устройства

O

Цифро-аналоговый преобразователь на выходе цифрового устройства

Q

Биполярный транзистор

R

Резистор

S

Ключ, управляемый напряжением

T

Линия передачи

V

Независимый источник напряжения

W

Ключ, управляемый током

U

Цифровое устройство

X

Макромодель

<


Заметим, что помимо перечисленных выше компонентов схем в состав моделируемого устройства могут входить и не электронные элементы (электрические машины, системы автоматического управления и др.), оформленные в виде макромоделей.

Номера узлов подключения компонента к схеме перечисляются в определенном порядке, установленном для каждого компонента (см. ниже). Имя модели компонента не является обязательным параметром. Далее указываются численные значения параметров компонента. В программе PSpice осуществляется масштабирование чисел с помощью суффиксов, приведенных в табл. 3.3.

Таблица 3.3

Суффикс

Масштабный коэффициент

Наименование

F

10


Фемто

P

10


Пико

N

10


Нано

U

10


Микро

MIL

25,4·10


Мил (0,001 дюйма)

M

10


Милли

K

10


Кило

MEG

10


Мега

G

10


Гига

T

10


Тера

С

Признак целого числа тактов цифровых сигналов

Допускается к масштабным суффиксам дописывать буквенные символы для улучшения наглядности обозначений. Так, сопротивление 5,1 кОм может быть записано несколькими способами: 5100, 5.1K, 5.1KOM, 5.1KOHM, 5.1e3, 0.0051e3K. При этом допускается присоединять суффикс и к числам, представленным в форме с плавающей точкой, как в последнем примере. Суффикс MIL используется при задании геометрических размеров МОП-транзисторов.

Сопротивления, емкости и индуктивности должны быть постоянными величинами (зависимость от времени или от токов и напряжений, к сожалению, не допускается). Они могут быть как положительными, так и отрицательными величинами. Исключение составляет анализ переходных процессов, где отрицательные значения емкостей и индуктивностей могут привести к ошибкам в расчете. В любом случае нулевые значения параметров компонентов не допускаются.

Помимо числовых значений в предложениях входного языка программы могут использоваться идентификаторы параметров, которые заключаются в фигурные скобки { }.


Например, постоянное напряжение источника питания может быть задано с помощью параметра VPOWER: 

 

VP   6   0   DC   {VPOWER}

Внутри фигурных скобок допускаются символы арифметических выражений, например:

C2 5 4 {CLOAD*2.5}

Это не допускается при задании:

– параметров NL, F, LEN, R, L, G и C линии передачи;

– температурных коэффициентов резистора TC1, TC2 в его описании (в модели резистора эти выражения допускаются);

– параметров линейно-ломаного сигнала PWL;

– полиномиальных коэффициентов зависимых источников типа E, F, G, H;

– в именах узлов и параметрах директив .AC, .DC и др.

Выражение должно помещаться на одной строке длиной не более 131 символа (громоздкие выражения целесообразно оформлять в виде функции с помощью директивы .FUNCTION). Кроме арифметических выражений допускается использование  стандартных функций, приведенных в табл. 3.4.

Таблица 3.4

Функция

Определение

Комментарий

ABS(x)

Абсолютное значение x

ACOS(x)

Арккосинус x

Результат в радианах

ARCTAN(x)

Арктангенс x

ASIN(x)

Арксинус x

ATAN(x)

Арктангенс x

ATAN2(y,x)

Арктангенс y/x

COS(x)

Косинус x

x

в радианах

COSH(x)

Косинус гиперболический x

x

в радианах

DDT(x)

Производная dx/dt

Применяется только при анализе переходных процессов

EXP(x)

Экспонента числа

x

IF(t,x,y)

x, если t –  истинно,

y, если t –  ложно

t – булевая переменная

IMG(x)

Мнимая часть x

LIMIT(x,min,max)

min, если x<min,

max, если x>max,

x, если min<x<max

Ограничитель с линейной областью

LOG(x)

Натуральный логарифм x

LOG10(x)

Десятичный логарифм x

M(x)

Модуль x

Эквивалентно ABS(x)

MAX(x,y)

Максимум x, y

MIN(x,y)

Минимум x, y

P(x)

Фаза x

Равна 0 для вещественных чисел

PWR(x,y)

Степенная функция |x|
 

PWRS(x,y)

+|x|
, если x<0

-|x|
, если x>0

R(x)

Действительная часть x

SDT(x)

Интеграл


Применяется только при анализе переходных процессов

SGN(x)

Знак x

SIN(x)

Синус x

x

в радианах

SINH(x)

Синус  гиперболический x

x

в радианах

STP(x)

1, если x
0,

0, если x
0

SQRT(x)        

 


TAN(x)          

Тангенс x

x

в радианах

TANH(x)

Тангенс гиперболический x

x

в радианах

ATAN(x)       

Арктангенс x

Результат в радианах

TABLE(x,x
,y
,...)

Табличная зависимость функции y от x

Задаются координаты точек (x
,y
), в промежуточных точках используется линейная аппроксимация

<


Выражения могут содержать следующие математические операции:

Символ операции

Назначение

Арифметические операции

+

Сложение



Вычитание

*

Умножение

/

Деление

Логические операции

~

Логическое отрицание

|

Логическое ИЛИ

^

Логическое исключающее ИЛИ

&

Логическое И

**

Бинарный оператор

Операции отношений (для функции IF)

==

Равно

!=

Не равно



Больше

>=

Больше или равно



Меньше

<=

Меньше или равно

Директивы моделиpования начинаются с символа “.” в первой позиции (см. табл. 3.5).

Таблица 3.5

Имя  

Назначение

Задание начальных условий

.IC

Задание начальных условий

.NODESET

Задание узловых потенциалов по постоянному току на начальной итерации

.SAVEBIAS

Запись в файл узловых потенциалов схемы

.LOADBIAS

Считывание из файла узловых потенциалов схемы

Pасчет стандаpтных хаpактеpистик

.OP

Передача в выходной файл параметров схемы, линеаризованной в окрестности рабочей точки

.TF

Расчет малосигнальных передаточных функций в режиме по постоянному току

.SENS

Расчет малосигнальных чувствительностей в режиме по постоянному току

.DC

Расчет режима по постоянному току

.TRAN

Расчет переходных процессов

.FOUR

Спектральный анализ

.AC

Расчет частотных характеристик

.NOISE

Расчет уровня внутреннего шума

Многовариантный анализ

.STEP

Вариация параметров

.TEMP

Назначение температуры окружающей среды

Статистический анализ

.MC

Статистический анализ по методу Монте-Карло

.WCASE

Расчет наихудшего случая

Управление выдачей результатов

.PLOT

Представление результатов расчета в выходном файле в виде графиков, построенных в текстовом режиме

.PRINT

Представление результатов расчета в выходном файле в виде таблиц

.PROBE

Передача данных в графический постпроцессор Probe

.VECTOR

Создание файла с результатами моделирования цифровых устройств

.WATCH

Выдача промежуточных результатов анализа на экран программы PSpice в текстовом виде

.WIDTH

Назначение длины строк выходного файла

Модели устройств

.MODEL

Описание моделей компонентов

.DISTRIBUTION

Табличное определение закона распределения случайных величин

.SUBCKT

Начало описания макромодели

.ENDS

Конец описания макромодели

Вспомогательные файлы, определение функций и параметров

.FUNC

Определение функции

.PARAM

Определение глобальных параметров

.INC

Включение во входной файл другого файла

.LIB

Подключение библиотеки моделей компонентов

.END

Конец задания

Прочие директивы

.EXTERNAL

Спецификация внешних портов

.OPTIONS

Установка параметров и режимов работы программы

.STIMLIB

Задание имени файла с описанием внешних воздействий

.STIMULUS

Задание внешних воздействий

Задание текстовых переменных, текстовых выражений или имен файлов, используемых в описании цифровых устройств

Взаимодействие с графическим редактором Schematics

.ALIASES

Начало списка соответствий имен выводов графических обозначений компонентов именам цепей схемы, к которым они подключены

.ENDALIASES

Конец списка соответствий

Перейдем к подробному объяснению правил составления описаний компонентов и директив.


Тиристоры


Рассмотрим несколько моделей тиристоров разной сложности.

Простейшая модель тиристора. Она состоит из диода D, на который подается управляющее напряжение Vупр, и нелинейной проводимости GN, коммутируемой током I, протекающим через диод (рис. 5.11)

.subckt SRC1   1   2   3

.FUNC GN(I) TABLE(I,-100,1e-6,0.2,1e-6,0.21,1e3,100,1e3) 

R   1  4  2.5

D   3  4  DD

VD  3  1  DC=0

G   2   1   VALUE={GN(I(VD))*V(3,4)}

.model   DD    D (IS=1e-14  N=2)

.ends

Рис. 5.11. Простейшая модель тиристора

В версиях Design Center до 6.1 описание нелинейной проводимости в виде функции GN приходится, к сожалению, помещать в задание на моделирование конкретной схемы, так как по правилам PSpice 5 внутри описания макромоделей допускается помещать только директивы .MODEL.

При отсутствии управляющего сигнала диод закрыт и проводимость GN=1 мкСм. При подаче управляющего сигнала диод открывается и проводимость GN резко возрастает до 1000 См. После снятия управляющего напряжения диод остается открытым, запирается он после подачи обратного управляющего напряжения.

Транзисторная модель тиристора

показана на рис. 5.12. Она повторяет реальную схему имитации тиристора с помощью двух транзисторов противоположной проводимости. Приведем пример такой модели:

.subckt SRC2 1 2 3

Q1   2   4   1   KT818

Q2   4   2   3   KT819

R     2   3   10

.model   KT818   PNP (Is=1e-12 Bf=100 Vaf=50 Rb=10)

.model   KT819  AKO:KT818  NPN

.ends

Рис. 5.12. Двухтранзисторная модель тиристора

Эта модель достаточно точная, с ее помощью имитируются кривые гистерезиса тиристора, но она требует больших объемов вычислений, чем приведенная ниже более простая диодная модель.

Диодная модель тиристора

(рис. 5.13) имеет вид [5, 31, 32]:

.subckt SRC3   1   2   3

RS   1   4   0.5

RA   4   5   1e6

RC   5   9   1e8

RK   9   3   1e6

RG   2   9   300

DA   4   8   DA

DC   9   5   DC

DK   9   7   DK

VA   8   5   DC=0

VK   7   3   DC=0

FC   5   9   POLY(2)   VA   VK   0.0   0.98   0.95


.model   DA   D (Is=10f Cjo=0p Tt=0n Vj=0.65 M=0.3)

.model   DC   D (Is=10f Cjo=0p Tt=0n Vj=0.65 M=0.3)

.model   DK   D (Is=10f Cjo=0p Tt=0n Vj=1.4 M=0.5)

.ends





Рис. 5.13. Диодная модель тиристора
Модель состоит из трех полупроводниковых диодов, в которых при необходимости учитываются емкости переходов. Зависимый источник тока FC, управляемый напряжениями переходов, отображает усиление инжекционных токов диодов. Наличие резисторов RA, RC и RK

позволяет моделировать тиристор в режиме семистора.

Макромодель тиристора, принятая в PSpice, помещена в библиотеку thyrist.lib.


Транзисторный полосовой усилитель


Рис. 3.20. Принципиальная схема полосового усилителя

На рис. 3.20 приведена принципиальная схема транзисторного полосового усилителя, на примере которой продемонстрируем основные возможности программы PSpice. Усилитель собран на биполярном транзисторе КТ315А, который нагружен на двухконтурный полосовой LC-фильтр. Этот фильтр настроен на частоту 36 МГц и имеет характеристическое сопротивление 100 Ом. Фильтр состоит из двух параллельных колебательных контуров L1–C4, L2–C6 с емкостной связью C5. Связь фильтра с транзистором непосредственная через разделительный конденсатор C3, а с нагрузкой RLOAD – с помощью согласующего высокочастотного трансформатора L2–L3, обмотки которого имеют коэффициент связи 0,8. Резисторы RK1, RK2

имитируют потери в контурах (в реальной схеме усилителя они, естественно, отсутствуют). Усилитель питается от источника постоянного напряжения 6 В. Ко входу должен быть подключен источник гармонического сигнала.

Задание на моделирование занесено в файл band.cir :

BANDPASS FILTER

*ХAРAКТЕРИСТИКИ LC-ФИЛЬТРA

.OPTIONS   ACCT   LIST   NODE   OPTS   NOPAGE   RELTOL=1e-4

RG          IN        10       1k

R1            2          1         MRES  4.5k

R2            1          0         MRES 1.3k

R3           2          3         100

R4           4          0         MRES 200

RK1        5         0          2.31k

RK2        6          0          2.31k

RLOAD 9          0          100

C1           IN       1          1000pF

C2           4          0          3300pF

C3           5          3          50nF

C4           5          0          241.4pF

C5           6          5          44.5pF

C6           6          0          243pF

L1            5          0          0.0692u

L2            6          0          0.0692u

L3            0          9          0.108u

K1           L2       L3       0.8

Q1           3          1          4          KT315A

VS           2          0          DC      6V

VIN         10        0          AC      1          SIN ( 0  0.05V 36MEG )


.MODEL   MRES   RES ( R=1 DEV=20% TC1=0.01 )

.LIB    bip_rus.lib

.TEMP    -37   27    67

.DC    VS    0.5    20.5    5

.TF     V(3)   VS

.SENS   VBE(Q1)

.AC    LIN    41   16MEG   56MEG

.NOISE   V(9)   VIN

.MC    5    AC    V(9)    YMAX

.TRAN/OP    5ns    1us

.FOUR    36MEG    V(9)

.PRINT    NOISE    INOISE    ONOISE

.PROBE    V(3)    V(6)    V(9)    V(INOISE)

.END

В первых двух строках этого файла указан заголовок задания и дан комментарий. На следующей строке по директиве .OPTIONS  устанавливаются параметры выдачи результатов моделирования в выходной файл band.out и допустимая погрешность вычислений. Затем идет описание схемы усилителя (порядок следования строк в этом файле совершенно произвольный). На вход подключен источник напряжения VIN, ЭДС которого в режиме AC равна 1 В (в этом режиме рассчитываются частотные характеристики линеаризованной цепи, поэтому абсолютное значение ЭДС входного сигнала не принципиально), а в режиме TRAN имеет вид гармонического сигнала с частотой 36 МГц и амплитудой 0,05 В (здесь уже ЭДС входного сигнала имеет реальную амплитуду, так как переходные процессы рассчитываются для исходной нелинейной цепи). Резисторы R1, R2 и R4 описываются по директиве .MODEL моделью с именем MRES, параметры которой перечислены в круглых скобках (они имеют линейный температурный коэффициент сопротивления TC1=0,01, разброс сопротивлений имеет относительное среднеквадратическое отклонение DEV=20 %). Директива .LIB указывает, что параметры модели биполярного транзистора находятся в файле bip_rus.lib. По директиве .TEMP устанавливается список температур, при которых будет проводиться анализ характеристик усилителя. По директиве .DC определяется режим расчета по постоянному току при вариации напряжения источника питания VS от 0,5 до 20,5 В с шагом 5 В. Малосигнальная передаточная функция dV(9)/dVIN рассчитывается по директиве .TF. Далее по директиве .SENS рассчитывается чувствительность постоянного напряжения база–эмиттер транзистора VBE(Q1) к изменению параметров всех компонентов схемы.


По директивам .AC и . NOISE производится расчет частотных характеристик и уровня шума в диапазоне частот от 16 до 56 МГц (всего 41 точка по частоте). После этого по директиве .TRAN рассчитываются переходные процессы в интервале времени от 0 до 1 мкс (шаг интегрирования устанавливается автоматически) с шагом вывода данных в файл band.out, равным 5 нс. После этого директива .FOUR задает спектральный анализ по Фурье напряжения на нагрузке V(9), частота первой гармоники установлена равной 36 МГц. Директива .MC задает статистический анализ в режиме AC модуля напряжения V(9); всего проводится пять статистических испытаний при случайных значениях сопротивлений R1, R2 и R4, которые имеют ненулевое значение отклонения DEV.

Перечень переменных, выводимых в файл band.out в виде таблиц, приводится в директиве .PRINT. Этот файл по окончании работы программы PSpice просматривается, редактируется и выводится на печать средствами DOS (при этом графики изображаются алфавитно-цифровыми символами). Графические возможности ПК реализуются с помощью графического постпроцессора Probe. В директиве .PROBE перечислен список переменных,  заносимых в файл результатов band.dat, который автоматически загружается в программу Probe после ее вызова (см. разд. 7.2). В качестве примера на рис. 3.21 показаны два графика семейства АЧХ напряжений V(9) в узле 3 и корня квадратного из спектральной плотности напряжения эквивалентного шума на входе INOISE при вариации температуры. Фрагмент выходного файла band.out приведен в Приложении 3.



Рис. 3.21. Семейство АЧХ выходного напряжения и уровня входного шума при вариации температуры

Транзисторы СВЧ


Встроенные модели транзисторов программы PSpice (гл. 4) справедливы на не очень высоких частотах (до 30...100 МГц в зависимости от типа транзистора). Существует несколько способов их модернизации для учета особенностей транзисторов на высоких частотах.

а) Нелинейные макромодели на физическом уровне

В моделях транзисторов на высоких частотах должны в первую очередь приниматься во внимание индуктивности выводов и паразитные емкости между выводами и корпусом транзистора. Поэтому наиболее естественно создать макромодель высокочастотного транзистора на основе встроенной модели, дополнив ее пассивными LC-цепями. Существует большое количество конфигураций этих цепей, учитывающих конструктивные особенности каждого транзистора. В качестве примера приведем макромодель транзистора 2Т934А (рис. 5.6):

.subckt 2T934A  1  2  3

Q1   4   5   6   Q

L1   2   5   3.1n

L2   1   4   2.5n

L3   6   3   1.3n

C1   2   3   0.96p

C2   1   3   1.53p

.model  Q  NPN (IS=2nA BF=50 BR=4.6 NF=1.4 NR=1.5 CJE=32p

+  CJC=20p VJE=0.8 VJC=0.8 MJE=0.4 MJC=0.45 TF=0.18n

+  TR=4.5n VAF=80  RB=1.4 RC=1.3)

.ends

Рис. 5.6. Нелинейная макромодель транзистора 2Т934А

Подобные модели позволяют рассчитывать как режим по постоянному току, так и динамические характеристики устройств.

б) Малосигнальные макромодели на физическом уровне

В высокочастотной транзисторной электронике усилительные свойства транзисторных каскадов оценивают на основе сложных малосигнальных схем замещения транзисторов, пример которых приведен на рис. 5.7, а. Текстовое описание модели биполярного транзистора КТ642 для тока коллектора 5 мА в файле KT642.mod приведено ниже:

.subckt KT642   5   11   10

*KT642A-2,  Ie=5 mA,  Ft=4 G

GK   6   3   LAPLACE {I(VE)} {1/(1+S/25E9)}

RB   2   6   4.0

CP   2   3   0.5p

RK   3   4   1.2

CA   6   3   0.5p

RDB  1   2   0.1

LK   4   5   0.1n

CE   7   6   4P

LB   1   11   0.1n

RDE   8   7   0.5

LE    9   8   0.1n

RE    7   6   5.0


VE  10   9   0V

.ENDS







Рис. 5.7. Линейная высокочастотная макромодель транзистора КТ642 (а) и схема измерения его Y-параметров (б)
Обратим внимание на моделирование комплексного коэффициента передачи тока
 с помощью управляемого источника тока

GK  6  3  LAPLACE  {I(VE)} {1/(1+S/25e9)}

В моделях полевых транзисторов используются операторы запаздывания, например

GC  10  7  LAPLACE  {V(3)} {18e-3*EXP(-1.2e-10*S)}

Примечание. Идентификация параметров малосигнальных схем замещения транзисторов производится на основе расчета их Y–параметров в схеме, показанной на рис. 5.7, б. В текстовом виде задание на моделирование имеет вид:

Y-parameters calculation

.AC   LIN   101   10MEG   1G 

.PROBE

.param A=1

.step   param   A   list   1   0

V1   1   0   AC   {A}

V2   2   0   AC   {1-A}

X1   1   2   KT642

.inc  KT642.mod

.END

Здесь в одном задании проводятся два опыта при коротком замыкании на выходе (параметр А=1) и коротком замыкании на входе (А=0). Для построения с помощью программы Probe графиков мнимых и действительных частей Y–параметров и инвариантного коэффициента устойчивости KMS рекомендуется в файл msim.prb включить макрокоманды 

RY11( )=-(IR(V1)@1*VR(1)@1+II(V1)@1*VI(1)@1)/M1

IY11( )=-(II(V1)@1*VR(1)@1-IR(V1)@1*VI(1)@1)/M1

IY21( )=-(II(V2)@1*VR(1)@1-IR(V2)@1*VI(1)@1)/M1

RY21( )=-(IR(V2)@1*VR(1)@1+II(V2)@1*VI(1)@1)/M1

RY12( )=-(IR(V1)@2*VR(2)@2+II(V1)@2*VI(2)@2)/M2

IY12( )=-(II(V1)@2*VR(2)@2-IR(V1)@2*VI(2)@2)/M2

RY22( )=-(IR(V2)@2*VR(2)@2+II(V2)@2*VI(2)@2)/M2

IY22( )=-(IR(V2)@2*VR(2)@2+II(V2)@2*VI(2)@2)/M2

M1( )=M(V(1)@1)*M(V(1)@1)

M2( )=M(V(2)@2)*M(V(2)@2)

KMS( ) = 10*log10(I(V2)@1/V(1)@1/I(V1)@2*V(2)@2)

Для построения, например, графика частотной зависимости мнимой составляющей Y11 следует выбрать команду Trace/Add

и указать имя макрокоманды IY11 (без параметров).

в) Формальные малосигнальные макромодели

Второй способ построения высокочастотных моделей транзисторов основан на применении их малосигнальных схем замещения.


В таком случае сначала с помощью типовых моделей транзисторов рассчитывается режим цепи по постоянному току и для этого режима измеряются или рассчитываются Y-или S-параметры транзисторов в заданном диапазоне частот. Рассмотрим эти схемы замещения для программы PSpice подробнее.

Макромодель на основе Y-параметров. Напомним уравнение линейного 4-полюсника (рис. 5.8) в системе Y-параметров







Рис. 5.8. Линейный 4-полюсник




Рис. 5.9. Макромодель транзистора на основе Y-параметров
Этой системе уравнений  поставим в соответствие схему замещения транзистора на основе ИТУН (рис. 5.9).

Приведем пример формальной макромодели транзистора КТ315В на основе Y-параметров, измеренных на частотах 5, 10 и 30 МГц для тока коллектора Iк=5 мА:

.subckt  KT315V  2  1  3

G11  1  3  FREQ {V(1,3)}=

+ ( 5e6, -50.3, 31.6) (10e6, -48.0, 36.9)   (30e6, -44.3, 41.0)

G12  1  3  FREQ {V(2,3)}=

+ ( 5e6, -78.4, 181.9) (10e6, -72.7, 184.5)  (30e6, -63.1, 183.7)

G21  2  3  FREQ {V(1,3)}=

+ ( 5e6, -18.7, -20.8) (10e6, -20.0, -31.9)   (30e6, -25.5, -44.2)

G22  2  3  FREQ {V(2,3)}=

+ ( 5e6, -67.4, 63.4) (10e6, -63.3, 56.3)  (30e6, -59.4, 54.1)

.ends

Напомним, что при табличном задании управляемых источников в частотной области для каждого значения частоты указывается модуль передаточной функции в децибелах и ее фаза в градусах.

Макромодель на основе S-параметров. В диапазоне СВЧ большее распространение имеют линейные макромодели транзисторов на основе S-параметров, которые в этом диапазоне частот проще измерять, чем Y-параметры. Напомним, что для 4-полюсника на рис. 5.8 справедливо следующее уравнение в терминах S-параметров [27, 44]:



Здесь



–  падающие и отраженные волны мощности, Z
 –

 волновое сопротивление тракта, в котором измерены S-параметры транзистора.

Из этих соотношений вытекают уравнения для входного и выходного напряжений, в которые входят управляемые источники напряжения:





где



На основе этой системы уравнений  составляется линеаризованная схема замещения СВЧ транзистора (рис. 5.10). В качестве примера приведем описание макромодели арсенид– галлиевого полевого транзистора 3П343 в диапазоне частот 4...12 ГГц при напряжении затвора 2 В и токе стока 10 мА:

.subckt 3P343   2   1   3

RZ1   1   11   50

RZ2   2   21   50

E11 11 12 FREQ {V(1,3)+V(1,11)} =

+ (4e9, -0.35, -28.3) (5e9, -0.54, -33.2)  (6e9, -0.58, -35.9)

+ (7e9, -1.21, -41.9)  (8e9, -1.01, -67.1) (9e9, -2.85, -56.9)

+ (10e9, -4.29, -32.8) (11e9, -1.94, -56.9)  (12e9, -0.63, -65.2)

E12 12 3 FREQ {V(2,3)+V(2,21)}=

+ (4e9, -32.5, 77.0) (5e9, -29.5, 62.0)  (6e9, -29.2, 72.4)

+  (7e9, -27.3, 65.0)  (8e9, -23.5, 15.0) (9e9, -29.0, 66.1)

+ (10e9, -23.4, 36.6) (11e9, -25.4, 61.7)  (12e9, -22.1, 40.4)

E21 21 22 FREQ {V(1,3)+V(1,11)}=

+ (4e9, 0.82, 149.8) (5e9, 2.30, 131.1)  (6e9, 0.74, 134.3)

+  (7e9, 1.26, 129.0)  (8e9, 0.43, 105.5) (9e9, 0.11, 123.2)

+ (10e9, 3.92, 87.5) (11e9, 2.40, 110.1)  (12e9, 4.10, 85.0)

E22 22 3 FREQ {V(2,3)+V(2,21)}=

+ (4e9, -2.16, -26.4) (5e9, -1.21, -49.1)  (6e9, -2.27, -45.1)

+ (7e9, -2.21, -34.4)  (8e9, -2.62, -54.5) (9e9, -2.73, -52.7)

+ (10e9, -3.74, -17.2) (11e9, -3.48, -65.1)  (12e9, -4.44, -62.4)

.ends





Рис. 5.10. Макромодель транзистора на основе S-параметров


Управление выдачей результатов


Результаты расчетов в виде таблиц

выводятся в выходной файл с расширением .out по директиве

.PRINT[/DGTLCHG] [ DC ] [ TRAN ] [ AC ] [ NOISE ] <выходная переменная>*

В одной директиве .PRINT можно выбрать только один вид анализа и привести список не более восьми выходных переменных. Одновременно в задании на моделирование можно поместить несколько таких директив. В таблицах каждая колонка соответствует одной переменной. В первой колонке помещается независимая переменная: постоянное напряжение (режим DC), время (режим TRAN) или частота (режим AC). Количество значащих цифр данных и максимальное количество строк в таблице определяются опциями NUMDGT и LIMPTS директивы .OPTIONS. Формат выходных переменных описан ниже. Приведем примеры:

.PRINT  DC  V(3)  V(2,3)  I(VIN)

.PRINT  AC  VM(2)  VP(2)  VDB(5)  IR(6)

.PRINT  TRAN  V(1)  V(R1)  V([RESET])

В последнем примере нецифровое имя узла RESET, начинающееся с буквы, заключено в квадратные скобки, чтобы отличить его от имени компонента.

Логические состояния цифровых компонентов выводятся на внешние устройства после окончания моделирования обычным образом. В общем случае спецификация <выходная переменная> цифровых узлов имеет вид

D(<имя узла>)

Однако при наличии параметра /DGTLCHG префикс D можно опустить. Поэтому следующие две директивы вывода на печать логических состояний цифровых узлов SET и Q1 эквивалентны

.PRINT  TRAN  D(SET)  D(Q1)

.PRINT/DGTLCHG  TRAN  SET  Q1

Различие этих директив заключается в том, что по директиве .PRINT на печать выводятся состояния как цифровых, так и аналоговых узлов, а по директиве .PRINT/DGTLCHG – только цифровых.

Результаты в виде графиков выводятся в выходной файл по директиве

.PLOT  [DC] [AC] [NOISE] [TRAN] <выходная переменная>*

+ [(<нижняя граница>,<верхняя граница>)]*

Смысл параметров такой же, что и в директиве .PRINT. Графики выводятся с помощью буквенно-цифровых символов независимо от типа печатающего устройства – как на АЦПУ старых ЭВМ, для которых была создана программа SPICE, поэтому директивой .PLOT пользоваться на современных ПК не имеет смысла.
На одном графике помещается до восьми кривых, однако количество директив .PLOT в одном задании не ограничено. Диапазон по оси x

указан в директиве, устанавливающей вид анализа, а диапазон по оси y определяется с помощью параметров <нижняя граница>, <верхняя граница> или автоматически. Приведем примеры:

.PLOT  DC  V(3)  V(2,3)  V(R1)  I(VIN)

.PLOT  AC  VM(2)  VP(2)  VM(3,4)  VG(5)

.PLOT  NOISE  INOISE  ONOISE

.PLOT  TRAN  V(3)  V(2,3)  (0,5V)  ID(M2)  (-50mA, 50mA)

Графический постпроцессор Probe

подключается директивой

.PROBE[/CSDF] [<выходная переменная>*]

Если список выходных переменных не указан, то в файл результатов с расширением имени .dat заносятся потенциалы всех узлов цепи и токи всех компонентов, разрешенных для помещения в список выходных переменных (см. ниже). Обратим внимание на то, что при этом файл результатов может иметь огромные размеры и не поместиться в ОЗУ. Указание конкретного списка выходных переменных, передаваемых в программу Probe через файл с расширением .dat, сокращает размер этого файла. Приведем примеры

.PROBE

.PROBE V(3) V(2,3) VM(2) VP(2)

Обратим внимание, что в файл данных .dat всегда помещаются уровни внутреннего шума INOISE, ONOISE и данные о кривых гистерезиса магнитных сердечников B(H), поэтому при наличии списка выходных переменных их в него включать не надо.

Максимальное количество отсчетов, передаваемых в постпроцессор Probe, равно 16 000 (при расчете переходных процессов это общее количество внутренних шагов, а не количество точек, выводимых на печать по директивам .PRINT и .PLOT).

Обратим внимание, что по  директиве .PROBE в файл данных всегда передаются данные о спектральной плотности внутреннего шума INOISE, ONOISE и  кривых гистерезиса B(H) магнитных сердечников, даже если при наличии списка переменных они в него не включены.

По директиве .PROBE/CSDF создается файл результатов в текстовом виде с расширением имени .txt, который можно использовать для обмена данными с ЭВМ других типов  и для сопряжения с программами дополнительной обработки результатов.



Замечание. Если в управляющей оболочке Schematic в меню Analysis/Probe Setup активизирована опция Automatically Run Probe After Simulation, то результаты расчетов автоматически передаются в программу Probe после завершения работы PSpice. При выборе опции Monitor Waveforms вывод графиков на экран программы Probe начинается одновременно с началом моделирования.

Запись в файл результатов моделирования цифровых устройств производится по директиве (введена в версии Design Center 6.1)

.VECTOR  <количество узлов> <номер узла>*

+  [POS=<позиция столбца в таблице>  [FILE=<имя файла>]

+  [RADIX=“Binary”|”Hex”|”Octal”

+  [BIT=<номер бита>]]

+  [ SIGNAMES=<имена сигналов> ]

В файл заносятся значения моментов времени и логические уровни сигналов в перечисленных узлах. Формат файла такой же, какой применяется при создании файла цифрового генератора FSTIM.  Приведем примеры:

.VECTOR  1  CLOCK  SIGNAMES=SYSCLK

.VECTOR  4  DATA3  DATA2  DATA1  DATA0

.VECTOR  1  ADDR3  POS=2  RADIX=H  BIT=4

.VECTOR  1  ADDR2  POS=2  RADIX=H  BIT=3

.VECTOR  1  ADDR1  POS=2  RADIX=H  BIT=2

.VECTOR  1  ADDR0  POS=2  RADIX=H  BIT=1

По умолчанию создается файл, имеющий имя текущей схемы с расширением .vec.

Выдача текущих результатов анализа

производится по директиве

.WATCH [ DC ] [ AC ] [ TRAN ] [<выходная переменная>

+ [<нижний предел>,<верхний предел>] ]*

В процессе работы программы PSpice на нижней строке экрана в текстовой форме выводятся значения до 3-х выходных переменных типа V или I (арифметические выражения недопустимы). Каждая переменная может иметь свои пределы. Если ее текущее значение выходит за эти пределы – раздается звуковой сигнал, после чего пользователь может прекратить расчеты или не обращать на это внимание. В последнем случае программа больше не будет проверять нахождение переменных в указанных пределах. Для каждого вида анализа DC, AC, TRAN записывается отдельная директива .WATCH.


При этом недоступен вывод группового времени запаздывания (в режиме AC) и состояний цифровых узлов.

Длина строки выходного файла

устанавливается директивой

 

.WIDTH OUT = <значение>

Параметр <значение> устанавливает количество колонок в выходном файле: 80 (по умолчанию) или 132.

Выходные переменные. Опишем, как составляются имена выходных переменных, используемых в директивах .PRINT, .PLOT и .PROBE. В разных видах анализа имена несколько различаются.

1. Режимы DC и TRAN. При расчете режима по постоянному току и переходных процессов используются выходные переменные, приведенные в табл. 3.17.

Таблица 3.17

Общая форма

Пример

Пояснение

V(<узел>)

V(2)

Потенциал узла

V(<+узел>,<–узел>)

V(2,4)

Разность потенциалов узла

V(<имя>)

V(R1)

Разность потенциалов между выводами двухполюсного компонента

Vx(<имя>)

VB(Q1)

Потенциал вывода многополюсного компонента

Vxy(<имя>)

VCE(Q3)

Разность потенциалов между выводами многополюсного компонента

Vz(<имя>)

VA(T2)

Напряжение на входе или выходе линии передачи

I(<имя>)

I(D5)

Ток через двухполюсный компонент

Ix(<имя>)

IB(Q1)

Ток через указанный вывод многополюсного компонента

Iz(<имя>)

IA(T3)

Ток через входные или выходные зажимы линии передачи

В спецификации переменных V(<имя>), I(<имя>) параметр <имя> указывает на один из двухполюсных компонентов, имена которых начинаются со следующих букв: C – конденсатор; D – диод; E – ИНУН; F – ИТУТ; G – ИТУН; H – ИНУТ; I – независимый источник тока; L – индуктивность; R – резистор; V – независимый источник напряжения.

В спецификациях переменных Vx(<имя>), Vxy(<имя>) и Ix(<имя>) параметр <имя> указывает имя трехполюсного или четырехполюсного компонента (см. разд. 3.2), а x

и y – аббревиатуры их выводов (см.


табл. 3.18).

Таблица 3.18

Первая буква имени

Компонент

Аббревиатура вывода

B

Арсенид-галлиевый полевой транзистор

D (сток)

G (затвор)

S (исток)

J

Полевой транзистор

D (сток)

G (затвор)

S (исток)

M

MОП-транзистор

D (сток)

G (затвор)

S (исток)

B (подложка)

Q

Биполярный транзистор

C (коллектор)

B (база)

E (эмиттер)

S (подложка)

Например, напряжение коллектор– база транзистора Q3 обозначается как VCB(Q3).

В спецификациях переменных Vz(<имя>), Iz(<имя>) в качестве параметра <имя> указывается имя линии передачи (начинается с буквы T), а символ z принимает два значения: A – вход, B – выход линии передачи.

2. Режим AC. При выводе результатов расчета частотных характеристик к именам переменных, перечисленным выше, добавляются суффиксы:

Суффикс

Пример

Пояснение

V(2,3)

Модуль

M

VM(2)

Модуль

DB

VDB(R1)

Модуль в децибелах

P

VCEP(Q3)

Фаза в радианах

G

IAG(T2)

Групповое запаздывание

R

IR(VIN)

Действительная часть

I

II(R13)

Мнимая часть

В отличие от режимов DC и TRAN в режиме AC возможен вывод токов не всех компонентов, а только следующих: R – резисторы; C – конденсаторы; I – независимые источники тока; L – индуктивности; T – линии передачи; V – независимые источники напряжения. Для вывода токов других устройств необходимо последовательно с ними включить независимый источник напряжения с нулевой ЭДС и затем указать спецификацию тока этого источника.

3. Анализ уровня внутреннего шума. При расчете уровня внутреннего шума имена выходных переменных имеют стандартный вид:

INOISE – эквивалентный уровень шумового напряжения или тока на входе цепи, равный
;

ONOISE – уровень напряжения шума на выходе, равный


DB(INOISE) – эквивалентный уровень шумового напряжения или тока на входе в децибелах;

DB(ONOISE) – уровень напряжения шума на выходе в децибелах.

В программе Probe корень квадратный из спектральной плотности напряжения и тока внутреннего шума выводится в виде V(INOISE), I(INOISE), V(ONOISE).


Установка на платформе DOS


Рис. 1.9. Работа в среде DOS

Система  Design Center на платформе DOS  выпускается в двух вариантах: моделирование аналоговых устройств ограниченной сложности (используется только основная память 640 Кб),  моделирование смешанных аналого-цифровых устройств с использованием расширенной памяти.  Все программы системы, за исключением Filter Designer, вызываются с помощью управляющей оболочки (рис. 1.9). Программа оптимизации в эту конфигурацию не включается. Схема описывается в текстовом виде с помощью любого ASCII–редактора или внутреннего редактора управляющей оболочки, как показано на рис. 1.10.  В качестве примера на рис. 1.10 приведено описание изображенной на рис. 1.1  схемы усилителя с амплитудным детектором.

Замечание.  В случае необходимости в качестве текстовых файлов задания на моделирование на платформе DOS можно использовать файлы с расширением имен .cir, .net и .als, составляемые графическим редактором Schematics на платформе Windows.

Управляющая оболочка предоставляет возможность открыть несколько окон (рис. 1.10), в которых размещаются входные и выходные текстовые файлы и графическая информация отдельных программ.  Отметим, что по команде Help осуществляется доступ в электронный учебник по программе моделирования PSpice.

Установка пакета программ.

Пакет программ устанавливается в любом каталоге на жестком диске. Вызывать его целесообразно из каталога, где находятся файлы задания на моделирование, например из каталога D:\MSIM62\WORK. Тогда имя каталога, где находятся выполняемые модули системы, необходимо включить в файл autoexec.bat:

PATH= ....;D:\MSIM62\EXE

Рис. 1.10. Многооконный экран управляющей оболочки Design Center для DOS

В этом же файле нужно определить каталог, где находятся файлы библиотек моделей компонентов, например:

set SIMLIBPATH=D:\MSIM62\LIB

Замечание. В версиях Design Center 5.x вместо переменной SIMLIBPATH использовалась переменная PSPICELIB.

При выводе данных на принтеры PostScript для программы Probe задаются переменные, определяющие размер  шрифта, имя шрифта и ширину линий:


set PSPFONTSIZE=<размер шрифта>

set PSFONT=<имя шрифта>

set PSPLINEWIDTH=<ширина линий>

Кроме того, с помощью переменной

set PRBFILE=<имя файла>.prb

задается имя файла целевых функций и макрокоманд (по умолчанию принимается имя файла msim.prb, в предыдущих версиях –  msim.gf).

В файле config.sys необходимо указать команды:

BUFFERS=20

FILES=40

Замечание. При запуске управляющей оболочки в окне DOS под управлением Windows необходимо увеличить значение FILES до 60 и более.

Настройка конфигурации.  С помощью программы SETUPDEV составляется файл конфигурации системы pspice.dev, в котором приведена  информация о типе дисплея и устройства вывода данных:

Display = <тип дисплея>

Hard-copy = <имя порта>,<тип принтера или плоттера>

Если предполагается выводить результаты расчетов на несколько принтеров и (или) плоттеров, для каждого типа устройства вывода составляется отдельная строка Hard-copy. Выбор конкретного типа устройства уточняется в этом случае по запросам программ Probe, StmEd и Parts перед выполнением команд печати. Файл pspice.dev редактируется также текстовыми редакторами. Система Design Center 6.2 на платформе DOS поддерживает следующие периферийные устройства:

Тип дисплея

AT&T

IBMClr

IBM8514

VGA800

Futurenet

IBMEGA

Tecmar1

VGA1024

GenericEGA

IBMEGAMono

Tecmar3

VGA1280

GenericVGA

IBMVGA

Tecmar4

Hercules

IBMVGAMono

Tecmar8

IBM

IBMMCGA

T3100

Имя порта

LPT1

 LPT2

 LPT3

COM1

 COM2

 FILE

Тип принтера или графопостроителя

Citoh

EpsonMX

HPLJ600E

MLJ300

Citoh132

EpsonMX132

HPPJ90

MLJ600

CitohSlw

HI

HPPJ180

MPS

CitohSlw132

HP

HPPJ_T90

NEC

Citoh-300

HP6

HPPJ_T180

NEC132

Citoh-300-132

HP8

HPQJ

NECClr

DEC75

HP_GL2-PCL

HPQJ192

NECClr132

DEC100

HP6_GL2-PCL

HPDJC

Okidata

DEC150

HP8_GL2-PCL

HPDJC_D

Okidata132

DECLA50

HPLJ

HPDJC_T

Printronix

DECLA100

HPLJ100

IBMClr

Printronix132

DECLA100-8

HPLJ150

IBMClr132

PS

Epson

HPLJ300

IBMClrSlw

TABLE

Epson132

HPLJ600

IBMClrSlw132

TEXT

EpsonLQ

HPLJE

IBMGraph

TEXT132

EpsonLQ132

HPLJ100E

MLJ

TOSHIBA

EpsonLQLow

HPLJ150E

MLJ100

TOSHIBA132

EpsonLQLow132

HPLJ300E

MLJ150

<


Например, при использовании цветного дисплея с графическим адаптером VGA и принтера Epson FX-80 следует создать файл pspice.dev вида:

 

Display = IBMVGA

Hard-copy = LPT1,Epson

При указании имени порта FILE и типа принтера TEXT графики, выведенные на экран программы Probe, заносятся в файл на диске в виде таблиц (имя файла и количество знаков в строке таблицы указываются в Probe по дополнительным запросам), содержание файла распечатывается средствами DOS. Тип TEXT обеспечивает вывод таблиц по 80 знаков в строке, TEXT132 – по 132 знака.

При указании имени физического порта и типа принтера TABLE графики выводятся на принтер в виде таблицы, а при указании типа принтера TEXT или TEXT132 – выводятся на экран алфавитно-цифровыми символами, как по команде .PLOT.

При указании имени порта FILE и типа принтера TABLE в файл заносятся таблицы дискретных значений графиков, которые могут в дальнейшем использоваться в других программах (например, в текстовых процессорах, программах обработки графиков и т. п.).

При указании имени порта FILE и конкретного типа принтера, например

Hard-copy = FILE,Epson

графики выводятся в файл в формате указанного принтера. По умолчанию файлу графиков присваивается имя plot1.tmp. Позже этот файл распечатывается средствами DOS:

COPY/B  PLOT1.TMP  LPT1

Результат будет таким же, если в файле настройки конфигурации поместить строку

 Hard-copy = LPT1,Epson

и направить график непосредственно на принтер. Однако предварительная запись в файл, во-первых, занимает меньше времени, чем вывод на принтер, и, во-вторых, позволяет распечатывать графики неоднократно.

Приведем правила вызова управляющей оболочки и отдельных программ в автономном режиме под управлением DOS.

Управляющая оболочка Control Shell

объединяет все программные модули Design Center для DOS. С ее помощью создаются текстовые задания на моделирование (файлы с расширением имени .cir), вызываются программы моделирования PSpice, PSpice A/D или PLogic, вспомогательные программы Probe, StmEd и Parts.


Управляющая оболочка  вызывается командой

PS [<имя файла>[.cir]]

Имя файла указывать необязательно. Программы PSpice, PSpice A/D, PLogic, Probe, StmEd и Parts вызываются с помощью системы меню управляющей оболочки или автономно, как показано ниже.

Программы моделирования PSpice, PSpice A/D или PLogic

вызываются с помощью командной  строки

SIM [<опции>*] [<имя входного файла>[.cir] [<имя выходного файла>[.out]] [<имя файла данных>[.dat]]

Входной файл содержит описание анализируемой схемы в текстовом виде, по умолчанию он имеет расширение .cir. Выходной файл по умолчанию имеет расширение .out. Его можно просмотреть и отредактировать (удалить лишние данные) с помощью любого текстового редактора и при необходимости вывести на принтер. По умолчанию входной и выходной файлы помещаются в том же каталоге, из которого вызывается  программа моделирования. Поэтому файлы пользователей целесообразно помещать в отдельный подкаталог, например DATA, и из него вызывать программу моделирования. В файл данных заносятся результаты моделирования, передаваемые в программу Probe для построения графиков, если во входном файле помещена директива .PROBE. По умолчанию файлу данных присваивается то же имя, что входному файлу с расширением .dat.

Командный файл sim.bat вызывает одну из программ моделирования. Например, для вызова программы PSpice или PSpice A/D он имеет вид:

echo off

if exist PROBEDAT.NAM del PROBEDAT.NAM

PSPICE %1 %2 %3 %4 %5 %6 %7 %8 %9

if exist PROBEDAT.NAM PROBE

Если моделирование завершается успешно, то управление передается программе Probe для построения графиков результатов моделирования.

При вызове программ моделирования  используются следующие необязательные опции:

/BF<интервал> – определение интервала времени (в минутах, от 0 до 1440 мин), через который текущие результаты моделирования заносятся в файл данных для программы Probe, чтобы сохранить результаты моделирования при сбоях в работе ПК или нечаянной перезагрузке (по умолчанию устанавливается интервал 10 мин.);



/BN<количество буферов> – количество буферов, отводимых для файлов данных программы Probe.  При задании нулевого количества буферов все данные непосредственно записываются на диск. По умолчанию устанавливаются 4 буфера или 1, если используется опция CSDF;

/BS<параметр, определяющий размер буфера> – задание размера индивидуального буфера для записи файла данных программы Probe в соответствии со следующей таблицей:

Параметр          : /bs0   /bs1     /bs2     /bs3     /bs4     /bs5     /bs6

Размер буфера  :256     512      1024    2048    4096    8192    16384

/DO – подавление выдачи информации о работе программы на дисплей (полезно для ускорения работы с маленькими задачами, когда продолжительность активизации дисплея соизмерима с длительностью моделирования);

/PS <имя COM-порта> – имя порта COM1, COM2, COM3, COM4 или физический адрес последовательного порта, к которому подключено устройство защиты программы от несанкционированного доступа.

Программа PSpice прерывается нажатием клавиши Break, расчет продолжается нажатием любой другой клавиши. Нажатие клавиш Ctrl+C приводит к выходу из программы. Если в процессе работы программы PSpice или PLogic нажать любую клавишу, то расчет прервется и на экране появится сообщение:

*Analysis Paused*

<C> – Continue the analysis                  (продолжение анализа)

<E> – Exit from PSpice (или PLogic)  (выход из программы)

<P> – Probe and resume                        (передача управления программе Probe и  затем                                    возвращение в  программу PSpice)

Для выбора одного из вариантов следует нажать клавишу C, E или P. Вызов программы Probe из этого режима возможен лишь при наличии расширенной памяти. После нажатия клавиши P работа программы PSpice прерывается и вызывается программа Probe для отображения графиков текущих результатов (это очень полезно в начале длительных расчетов, чтобы убедиться в отсутствии ошибок).


При этом программа Probe активизируется при выполнении следующих условий:

1) в файле задания на моделирование указана директива .PROBE;

2) не используется передача данных в текстовом формате по директиве .PROBE/CSDF;

3) к моменту прерывания моделирования получены данные для программы Probe.

После выхода из Probe моделирование продолжается.

Программа графической обработки результатов моделирования Probe вызывается командой

PROBE [опции]* [<имя файла данных>[.dat]]

Здесь, кроме /BF, /BN, /BS, используются следующие опции:

/C <имя файла>[.cmd] – задание имени командного файла, под управлением которого будет работать программа Probe;

/D <имя файла>[.dev] –  задание имени файла настройки конфигурации ПК. Если оно не указано, то по умолчанию назначается файл pspice.dev;

/L <имя файла>[.log] –  задание имени командного файла, в который будет занесен протокол работы с программой Probe;

/P <имя файла>[.prb] –  задание имени файла с описанием целевой функции, макрокоманд и конфигурации дисплея для программы обработки графиков Probe;

/PS <имя COM-порта> –  имя порта COM1, COM2, COM3, COM4 или физический адрес последовательного порта, к которому подключено устройство защиты программы от несанкционированного доступа;

/X <значение> –  постоянная активизация секции “Data Section Menu”. В качестве параметра <значение> передается объем буферной памяти в килобайтах (по умолчанию – 512 Кб, т.е. 8000 отсчетов). Используется только на платформе DOS/16M. Полезно при использовании опции /C, чтобы не сбивалась последовательность команд.

Расширения имен файлов можно не указывать –  они воспринимаются по умолчанию. Имя файла данных для программы Probe, если оно явно не указано при вызове программы PSpice, заносится в файл probedat.nam, откуда программа Probe его считывает. Если в нем имя файла данных не указано, программа Probe загружает файл probe.dat, а в его отсутствие –  probe.txt.


Если таких файлов не обнаружено, программа Probe выдает запрос на ввод имени файла данных.  В связи с тем что при следующем обращении к программе PSpice содержимое файла данных с результатами расчета обновляется, для сохранения его содержания (если это необходимо) файл данных следует переименовать.

Программа расчета параметров математических моделей компонентов Parts вызывается командой

PARTS [<опции>*]

Кроме указанных выше, здесь вводится опция:

 /B - спецификация второго дисковода для загрузки дискеты, позволяющей установить доступ к пакету Design Center при наличии защиты.

Результаты расчета параметров математических моделей компонентов заносятся в файлы .mod, которые средствами DOS объединяются в библиотечные файлы .lib.

Графический редактор входных сигналов StmEd

вызывается командой

STMED [опции]* [<имя файла>[.cir]]

В качестве параметров командной строки используются те же опции, что и при вызове программы Parts. В качестве имени файла указывается имя существующего или вновь создаваемого файла описания схемы. В отсутствие имени файла в командной строке оно вводится по запросу программы.

Подключение библиотечных файлов.

При наличии в описании схемы директивы .lib без параметров программа PSpice производит поиск моделей компонентов с помощью файла каталога библиотек nom.lib. В нем по директивам .lib указываются имена всех библиотечных файлов. В отсутствие файла каталога программа PSpice разыскивает модели компонентов сначала в текущем каталоге, а затем в каталогах, указанных с помощью переменной окружения DOS SIMLIBPATH. Эта переменная устанавливается перед запуском программы PSpice с помощью командной строки

SET SIMLIBPATH=<каталог>[;<каталог>]*

При поиске нужного файла каталоги просматриваются в указанном списке слева направо. Эту командную строку целесообразно поместить в файл autoexec.bat.

Настройка конфигурации цветов.

В программах Probe, StmEd и Parts настраиваются цвета графиков, курсора мыши, курсора графиков, фона и переднего плана.


Настройка осуществляется в файле pspice.clr вручную с помощью текстового редактора или в режиме Color Configuration Menu программы Probe. В отсутствие этого файла устанавливается конфигурация цвета по умолчанию: графики раскрашиваются в 6 цветов (зеленый, красный, голубой, желтый, ярко-красный, ярко-синий), черный фон, белый передний план и курсор графиков, ослепительно белый цвет курсора мыши.

Каждая строка файла pspice.clr имеет один из следующих форматов:

N <n> - спецификация номера цвета графиков,

B <c> - спецификация номера цвета фона,

F <c> - спецификация номера цвета переднего плана,

C <c> - спецификация номера цвета курсора графиков,

M <c> - спецификация номера цвета курсора мыши,

T <t> <c> - спецификация номера цвета выбранного графика.

Здесь       <n> - номер цвета графиков (1, ..., 12),

                <t> - номер графика (1, ..., <n>),

                <c> - номер цвета в стандарте EGA (0, ..., 63) в соответствии с  табл. 1.4.

Таблица 1.4

Номер графика по умолчанию

Номер цвета

Цвет

Номер графика по умолчанию

Номер цвета

Цвет

Фон

0

Черный

32

Темно-красный

1

Голубой

33

Красновато-серо-голубой

2

Зеленый

34

Желто-зеленый

3

Синий

35

Темно-серо-голубой

4

Красный

36

Светло-красный

5

Ярко-красный

10

37

Лилово-красный

6

Желтый

7

38

Золотой

Передний план

7

Белый

8

39

Палево-розовый

8

Темно-голубой

40

Темно-красный

9

Светло-голубой

3

41

Пурпурный

10

Темно-салатовый

42

Палево-зеленый

11

Небесно-голубой

11

43

Светло-пурпурный

12

Темно-розовый

44

Красно-розовый

13

Темно-пурпурный

45

Светло-красный

14

Цвета хаки

46

Рыжевато-коричневый

15

Светло-фиолетовый

47

Светло-розовый

16

Темно-зеленый

48

Темно-желто- коричневый

17

Серо-голубой

49

Светло-голубовато-стальной

18

Светло-зеленый

50

Ярко-желто- зеленый

19

Морской волны

51

Очень светлый голубовато-зеленый

20

Коричневый

52

Оранжево-красный

 

21

Цвета орхидеи

53

Светлщ-красно- фиолетовый

22

Светло-желто-зеленый

54

Ярко-желтый

9

23

Светло-салатовый

55

Светло-желтый

24

Темно-синий

56

Серый

25

Небесно-голубой

57

Насыщенный голубой

26

Салатовый

1

58

Насыщенный зеленый

27

Светло-синий

6

59

Насыщенный синий

28

Темно-розовый

2

60

Насыщенный красный

12

29

Фиолетовый

5

61

Насыщенный ярко-   красный

30

Очень светлый               желто-зеленый

4

62

Насыщенный желтый

31

Очень светлый голубовато-зеленый

Курсор мыши

63

Ослепительно белый

<




Использование расширенной памяти.

Расширенную память поддерживает версия пакета программ Design Center, сгенерированная в системе DOS/16M. Тестирование расширенной памяти производится командой PMINFO. Объем расширенной памяти (Extended memory) от 1 до 16 Мб.

Версия DOS/16M программ PSpice A/D, Probe и Control Shell поддерживает, кроме того, виртуальную память. Для создания виртуальной памяти указываются две переменные окружения TMP и DOS16VM, которые указывают место размещения и объем виртуальной памяти (в килобайтах). Например, команды

SET TMP=D:\

SET DOS16VM=4000

устанавливают размещение на диске D 4000 Кб виртуальной памяти. Заметим, что размещать виртуальную память для программы PSpice на электронном диске нецелесообразно.

При наличии виртуальной памяти в ОЗУ размещаются секции объектного кода программ и файлы данных, которые активизируются в данный момент времени. Для программы PSpice это позволяет освободить в ОЗУ примерно 500 Кб для размещения данных о схеме, поскольку многие секции этой программы, такие, как чтение входного файла и контроль ошибок, не нужны в процессе расчета характеристик схемы. Наличие виртуальной памяти позволяет программе Probe просматривать очень большие файлы данных. Кроме того, при работе программы Probe программа PSpice выгружается из ОЗУ и затем, после ее завершения, загружается снова. При наличии виртуальной памяти это происходит гораздо быстрее. Однако не следует рассчитывать на эффективность использования виртуальной памяти для расчета схем большого размера из-за замедления моделирования в 5–20 раз за счет обмена данными между ОЗУ и виртуальной памятью на каждом шаге итераций при анализе схемы.

Информация о максимально использованном объеме памяти в ОЗУ приводится в выходном файле программы PSpice при наличии параметра ACCT в директиве .OPTIONS (см. значение переменной MEMUSE).

Примечание. Аналогичными возможностями обладает 32-разрядная программа профессионального моделирования сложных аналоговых схем RTCSpice (аналог PSpice), разработанная “Русской технологической компанией”, телефон: (095) 313-9217.



Командные файлы. Они используются для управления программами Probe, Parts и StmEd и по умолчанию имеют расширение имени .cmd. В них используется следующая мнемоника команд:

* в начале строки – строка комментариев, пустые строки игнорируются;

имена команд программ или их первые буквы (например, EXIT – завершение режима);

@CR – нажатие клавиши Enter;

@UP – нажатие клавиши %;

@DWN - нажатие клавиши ^;

@LEFT – нажатие клавиши 4;

@RIGHT – нажатие клавиши 6;

@ESC – нажатие клавиши Esc;

PAUSE –  пауза до нажатия любой клавиши.

Приведем пример командного файла:

* Выбор режима “Transient analysis” в программе Probe

Transient_analysis

* Построить график V(4,5) и сделать паузу

Add_trace

V(4,5)

Pause

*Завершить работу

Exit

Exit_program

Командные файлы в виде протокола команд создаются автоматически при запуске программ Probe, Parts или StmEd с ключом /L в командной строке или установлением соответствующей опции при работе с управляющей оболочкой. После создания командного файла он редактируется. Во-первых, удаляются команды завершения работы Exit_program и Exit. Во-вторых, добавляются пустые строки и комментарии для удобства их чтения и команды Pause для обеспечения возможности просмотра графиков. Кроме того, можно добавлять и удалять другие команды по своему усмотрению. Созданные таким образом командные файлы загружаются в указанные выше программы с ключом /C в командной строке или с помощью соответствующей опции управляющей оболочки.


Установка на платформе Windows


При работе в среде Windows 3.1 перед запуском Design Center необходимо (начиная с версии 6.1) установить программу 32-разрядной поддержки WIN32S; в среде Windows NT или Windows 95 этого не требуется. 

Настройка конфигурации графического редактора Schematics осуществляется с помощью текстового файла msim.ini, который располагается в каталоге системы Windows и создается при установке системы. Этот файл может быть отредактирован любым текстовым редактором, однако большая часть содержащихся в нем параметров модифицируется по командам системы.  Поэтому приведем краткое описание его структуры.

В первой секции MICROSIM указываются имена каталогов, где расположены библиотеки, имя текстового редактора и имена подключенных программ:

[MICROSIM]

LIBPATH=D:\MSIM62\LIB                (каталог библиотек)

EDITOR=NOTEPAD %f                     (вызов текстового редактора)

BACKUP=D:\MSIM62\BACKUP       (каталог резервных копий)

SECURITYPORT=

WINDOWSPSPICE=ON

PSPICECMD=D:\MSIM62\PSPICE.EXE -wpause=3

       PLOGICCMD=PLOGIC.EXE

PROBECMD=D:\MSIM62\PROBE.EXE

STMEDCMD=D:\MSIM62\STMED.EXE

PARTSCMD=D:\MSIM62\PARTS.EXE

POLARISCMD=D:\MSIM62\POLARIS.EXE

POLTRANCMD=D:\MSIM62\POLTRAN.EXE

OPTIMIZERCMD=D:\MSIM62\optimize.exe -i MSIM_EV.INI

PCBCMD= D:\MSIM62\PCBOARDS.EXE

CCTCMD=D:\MSIM62\SPECCTRA\BIN\SPECCTRA.EXE

В разделе SCHEMATICS устанавливаются параметры редактора схем:

[SCHEMATICS]

ZOOMFACTOR=150               (коэффициент увеличения изображения схемы)

VIEWFITPERCENT=95

TEXTHEIGHT=13                                (размер шрифта)

DFLTTITLESYM=titleblk                    (имя символа углового штампа)

LAYOUTFORMAT=PCBOARDS      (редактор печатных плат)

SIMULATOR=PSPICE                        (программа моделирования)

LAYOUTCMD=D:\MSIM62\pcboards.exe

TEXTGRIDSIZE=2

POLARIS=ON

PCBoards=ON

BASEFONTNAME=Times New Roman Cyr  (имя шрифта)

В разделе SCHEMATICS NETLIST указываются имена библиотек математических моделей компонентов:

 [SCHEMATICS NETLIST]


LINE1=.lib D:\MSIM62\LIB\RUS.LIB           (библиотека пользователя)

LINE2=.lib D:\MSIM62\LIB\nom.lib   (каталог фирменных библиотек)

В разделе PART LIBS указываются имена библиотек символов компонентов:

[PART LIBS]

LIB1= D:\MSIM62\LIB\MY  [.slb]                  (библиотеки пользователя)

LIB2=D:\MSIM62\LIB\RUS  [.slb]                    .    .    .    .    .    .    .    .    .

LIB3=abm       [.slb]                                          (фирменная библиотека символов)

LIB4=adv_lin   [.slb,.plb]                      (фирменная библиотека символов и корпусов)

LIB5=analog    [.slb]

.    .    .    .    .    .    .    .    .    .    .

LIB62=74L      [.slb,.plb]

LIB63=74LS     [.slb,.plb]

В заключение  остановимся подробнее на настройке цветов, производимой в разделе SCHEMATICS COLORS, который редактируется только с помощью внешнего текстового редактора. Этот раздел состоит из строк, имеющих формат

<имя объекта>=<цвет>

Например, строка wire=green назначает всем проводникам зеленый цвет. Приведем имена доступных объектов:

Имя объекта

Описание объекта

attributes

Атрибуты

background

Фон

border

Граница чертежа

bus

Шина

foreground

Передний план

grid

Сетка

junction

Точка соединения проводников

hiddenpins

Скрытый (невидимый) вывод

marker

Маркер

pageboundary

Граница страницы

pin

Вывод символа

pinname

Имя вывода

pinno

Номер вывода

plsyn

Имя компонента и блока программы PLSyn

port

Порт

portlabel

Имя (метка) порта

refdes

Позиционное обозначение

selection

Указатель

stimulus

Цвет сигнала в программе StmEd

symbols

Символы компонентов

symnames

Имена символов

symtext

Текст символа

text1

Текст уровня 1

wire

Проводник

wirelabel

Имя (метка) проводника

Дадим пример этого раздела:

[SCHEMATICS COLORS]

WIRE=DARKGREEN (цвет проводников – темно-зеленый)

SYMBOLS=DARKGREEN (цвет контуров символов – темно-зеленый)

REFDES=BLUE (цвет позиционных обозначений – голубой)

PINNAME=DARKGREEN (цвет имен выводов – темно-зеленый)

SELECTION=RED (цвет выбранного объекта – красный)

BACKGROUND=BRIGHTWHITE (цвет фона – ярко-белый)

FOREGROUND=BLUE (цвет переднего плана – голубой, в него окрашиваются все             объекты, цвета которых не установлены)


Устройства интерфейса


Устройства интерфейса включаются между аналоговыми и цифровыми компонентами и выполняют две функции. Во-первых, с их помощью при моделировании электрических процессов в аналоговой части цепи задаются схемы замещения входных и выходных каскадов цифровых компонентов, соединенных непосредственно с аналоговыми компонентами. Во-вторых, они обеспечивают преобразование электрического напряжения в логический уровень и наоборот, чтобы обеспечить обмен данными между подпрограммами моделирования электрических процессов в аналоговой части цепи и логического моделирования цифровой части. Они подразделяются на устройства передачи данных от аналоговых на вход цифровых компонентов, называемые интерфейс А/Ц (Digital Output), и на устройства передачи данных от цифровых на вход аналоговых компонентов, называемые интерфейс Ц/А (Digital Input).

Если аналоговые и цифровые компоненты взаимодействуют в процессе моделирования, устройства интерфейса включаются в схему замещения цепи автоматически, когда они соединяются друг с другом. Пользователь при этом не включает устройство сопряжения в файл описания цепи с расширением .cir. Для обеспечения такого режима предварительно в библиотеки цифровых компонентов включаются ассоциируемые с каждым компонентом модели устройств интерфейса, оформленные в виде макромоделей. При расщеплении узла интерфейса для автоматического включения устройства интерфейса программа PSpice создает новый цифровой узел. Перечень этих узлов, созданных программой, помещается в выходном файле с расширением .out в разделе Generated AtoD and DtoA. Заметим, что узел интерфейса характеризуется электрическим напряжением, а дополнительный цифровой узел – логическим состоянием. Пользователь имеет право непосредственно включить в описание цепи устройства интерфейса А/Ц и Ц/А, при этом программа новые устройства включать уже не будет.

Рассмотрим в качестве примера смешанную цепь на рис. 6.2, а. Она состоит из двух цифровых компонентов – генератора цифрового сигнала U1 и логического вентиля 2И–НЕ, представленного в виде макромодели X1.
На один вход вентиля X1 подключается генератор аналогового сигнала VSIN, на другой – генератор цифрового сигнала U1, а к выходу – аналоговая RС-цепь. Таким образом, здесь имеются два узла интерфейса 1 и 2. К узлу 1 подключены аналоговый компонент VSIN и вход цифрового компонента X1, поэтому между этими компонентами программа включит в схему замещения интерфейс А/Ц и создаст дополнительный цифровой узел 1$AtoD. Аналогично между выходом цифрового компонента X1 и аналоговой RС-цепью будет включен интерфейс Ц/А и создан дополнительный цифровой узел 3$DtoA, как показано на рис. 6.2, б. Имена дополнительных цифровых узлов составляются по следующему правилу: в начале их имени повторяется имя узла интерфейса, к нему добавляется символ $ и затем суффикс AtoD или DtoA в зависимости от типа интерфейса (имя узла на выходе А/Ц приобретает суффикс AtoD, на входе Ц/А – DtoA). Если к одному узлу интерфейса подключается не один, а несколько однотипных компонентов, то образуются дополнительные цифровые узлы, в конце имен которых добавляются цифры 2, 3 ... Кроме того, в схеме замещения на рис. 6.2, б к интерфейсу Ц/А автоматически подключается источник питания через глобальный узел $G_POS.





Рис. 6.2. Пример смешанной аналого-цифровой цепи (а) и ее схемы замещения (б)
Отметим, что схема замещения на рис. 6.2, б

содержит аналоговые узлы 1, 3, $G_POS и цифровые узлы 1$AtoD, 2, 3$DtoA. Потенциалы аналоговых узлов и логические состояния цифровых узлов можно вывести на печать, например, по директиве

.PRINT   TRAN   V(1)   D(2)   V(3)

Итак, каждому реальному цифровому компоненту в программе PSpice ставятся в соответствие:

1) два устройства интерфейса для сопряжения с аналоговыми устройствами, которые могут подключаться к его входу и выходу, названные нами для краткости интерфейсами Ц/А и А/Ц; они осуществляют обмен данными между подпрограммами моделирования аналоговых и цифровых устройств программы PSpice;

2) модели вход/выход, отображающие его входные и выходные комплексные сопротивления;

3) модели динамики, учитывающие запаздывания сигналов. Модели цифровых компонентов и ассоциируемые с ними сопутствующие модели помещаются в специальные библиотеки.


Устройства питания


В программе PSpice принято, что макромодель источника питания имеет имя DIGIFPWR и на нее автоматически делается ссылка при наличии в схеме макромоделей аналого-цифровых интерфейсов. Конкретное содержание макромодели источника питания определяется пользователем, а ее текст помещается в библиотеку цифровых устройств. Приведем в качестве примера макромодель источника  напряжения, принимающего по умолчанию значение 5 В:

.subckt   DIGIFPWR   AGND

+ optional: DPWR=$G_DPWR   DGND=$G_DGND

+ params: VOLTAGE=5.0v  REFERENCE=0v

VDPWR   DPWR   DGND {VOLTAGE}

R1   DPWR   AGND   1MEG

VDGND   DGND   AGND {REFERENCE}

R2   DGND   AGND 1MEG

.ends

Здесь узлам цифрового питания и цифровой “земли” присвоены глобальные имена $G_DPWR, $G_DGND (при желании их можно изменить здесь и одновременно в моделях всех цифровых устройств). Узел AGND – общий узел источника питания, который при включении его в общую схему соединяется с узлом аналоговой “земли” 0. Для создания макромодели источника другого напряжения необходимо в задании на моделирование (в файле .cir) включить предложение вызова макромодели источника питания, задав с помощью параметра VOLTAGE нужное значение напряжения питания, и указать после номера узла “земли” 0 имена (номера) узлов его выводов, например:

XMYPOWER    0   MY_PWR   MY_GND   DIGIFPWR

+  params: VOLTAGE=9.0v

Здесь вызывается источник напряжения 9 В, выводы которого имеют имена MY_PWR и MY_GND (эти имена указываются в моделях примитивов цифровых устройств, подключаемых к этому источнику, см. ниже).

Усложнив макромодель, можно создать источник нескольких напряжений.



Вспомогательные файлы, определение параметров и функций


Функции, определяемые пользователем, задаются по директиве

.FUNC  <имя функции>([<аргумент>*]) <тело>

Количество аргументов находится в пределах от 1 до 10. Имя функции назначает пользователь, но оно не должно совпадать с именами встроенных функций, например SIN и др. Тело функции, содержащее ее описание, состоит из арифметических выражений и стандартных функций. Если аргументы отсутствуют, круглые скобки ( ) все равно нужны. Определение функции должно в задании на моделирование предшествовать ее использованию. Тело функции можно записывать на нескольких строках, помещая символ “+” в первой позиции строки продолжения. Приведем примеры (см. также [41]):

.FUNC   DR(D)   D/57.296

.FUNC   E(X)   EXP(X)

.FUNC   APBX(A,B,X)   A+B*X

.FUNC  P( )   SIN(0.19634954)

Набор стандартных функций целесообразно записывать в файлы и подключать их к заданию на моделирование директивой .INC.

Глобальные параметры задаются директивами вида

.PARAM <<имя параметра>=<значение>>*

.PARAM <<имя параметра>={<выражение> }>*

Значения параметров могут быть константами или выражениями, содержащими константы или другие параметры. Выражения должны заключаться в фигурные скобки { }.

Введем, например, параметры pi=

, рi2=2
, напряжение источника питания VPOWER=5 и используем один из них при описании емкости конденсатора C1:

.PARAM   pi=3.14159265,  pi2=6.2831853,  VPOWER=5v

.PARAM   VNUM = {8*pi}

C1  2  0  {1/(pi2*10kHz*5k)}

Имена вводимых параметров не должны совпадать с именем текущего времени TIME и именами стандартных параметров

GMIN – минимальная проводимость;

TEMP – текущая температура;

VT – температурный потенциал p–n-перехода.

Директиву .PARAM можно использовать внутри описания макромодели для создания локальных параметров (в Design Center 5 это было запрещено).

С помощью параметров можно определять все параметры моделей устройств и большинство параметров компонентов и директив, за исключением:


– температурных коэффициентов резистора TC1, TC2, задаваемых в описании резистора на схеме (в модели резистора это, конечно, допускается);

– параметров кусочно-линейного источника сигнала PWL;

– линейных и полиномиальных коэффициентов зависимых источников всех типов E, F, G и H (для этого рекомендуется использовать нелинейные источники).

Параметры нельзя использовать для именования узлов цепи и задания значений переменных в директивах моделирования .AC, .DC и др.

Директивы .PARAM можно помещать в библиотечные файлы. В процессе поиска параметров сначала просматривается задание на моделирование, а затем все подсоединенные библиотечные файлы.

Файл библиотеки компонентов

подключается по директиве

.LIB ["имя файла библиотеки"]

В файле библиотеки с указанным именем содержится описание встроенных моделей одного или нескольких компонентов (параметры каждого компонента вводятся по директиве .MODEL) или подсхем (описанных с помощью директив .SUBCKT/.ENDS). В этом же файле могут быть помещены комментарии и обращения к другим директивам .LIB.

При указании в задании на моделирование имени какого-либо конкретного компонента, модель которого содержится в библиотечном файле, в ОЗУ загружается не весь файл, а только его часть, относящаяся к данному компоненту.

Приведем примеры:

.LIB "KT315A.mod" – подключение файла описания модели транзистора КТ315А;

.LIB "QRUS.lib" – подключение файла библиотеки отечественных биполярных транзисторов;

.LIB "D:\PSPICE\LIB\d.lib" – подключение библиотеки диодов, находящейся на диске C в подкаталоге LIB каталога PSPICE.

В том же каталоге, из которого запускается пакет PSpice, может находиться файл каталога библиотек nom.lib, в нем перечислены директивы подключения всех используемых библиотек. Приведем пример этого файла, создаваемого пользователем

.LIB  "D:\PSPICE\LIB\qrus.lib"

.LIB  "D:\PSPICE\LIB\d.lib"

.LIB  "D:\PSPICE\LIB\digit.lib"



В таком случае в задании на моделирование указывается директива  .LIB без параметров.

Другая особенность связана с переменной среды DOS SIMLIBPATH (разд. 1.4), с помощью которой указывается перечень каталогов, которые просматривает программа PSpice в поисках библиотечных файлов, не указанных в файле nom.lib. Пусть, например, эта переменная установлена по команде

SET SIMLIBPATH=D:\PSPICE\LIB

Тогда в задании на моделирование достаточно указать имя файла библиотеки без пути доступа к нему, например

.LIB qrus.lib

При работе c графическим редактором Schematics подключение библиотек производится по команде Analysis/Library and Include Files. Причем опции, помеченные звездочкой “*”, делают выбранный библиотечный файл доступным для всех схем, без звездочки – только для текущей схемы.

Индексные файлы библиотек. В программе PSpice для ускорения поиска нужной модели в библиотечном файле введены индексные файлы, имеющие расширение .ind. Они создаются автоматически при первом обращении по директиве .LIB к библиотеке, в которой произведены изменения. Обратим внимание на то, что создание индексного файла требует больших затрат машинного времени, поэтому часто изменяемую часть библиотечного файла целесообразно оформить в виде отдельного файла.

Произвольный файл включается в текст задания на моделирование по директиве

.INC <"имя файла">

Например,

.INC  "band.cir"

.INC  "D:\MSIM\diode.mod"

Допускаются четыре уровня включения, причем включаемые файлы не должны иметь заголовков и директив .END.

При работе c графическим редактором Schematics подключение произвольных текстовых файлов производится по команде Analysis/Library and Include Files.

Конец задания отмечается директивой

.END

В одном файле могут помещаться задания на моделирование нескольких цепей, каждое из которых начинается с заголовка задания и заканчивается этой директивой.


системы Design Center составляет программа


Основу  системы Design Center составляет программа PSpice, которая является наиболее известной модификацией программы схемотехнического моделирования SPICE (Simulation Program with Integrated Circuit Emphasis), разработанной в начале 70-х годов в Калифорнийском университете [35, 49, 55, 72, 79]. Она оказалась очень удачной, с тех пор интенсивно развивается и де-факто стала эталонной программой моделирования аналоговых устройств. Принятые в ней математические модели полупроводниковых приборов используются во многих аналогичных программах (например, Micro-Cap IV, ДИСП-ПК), а формат входного языка SPICE поддерживается большинством пакетов САПР (OrCAD 5, P-CAD 8.5, TangoPRO, Viewlogic, COMPASS, Mentor Graphics и др.).  Первая версия программы PSpice для IBM PC создана в 1984 г. корпорацией MicroSim. Эта и последующие версии используют те же алгоритмы, что и SPICE, тот же формат представления входных и выходных данных.
Первая версия PSpice  позволяла моделировать исключительно аналоговые устройства. Рассчитывалиcь переходные процессы при действии различных входных сигналов, их спектры, режимы по постоянному току, частотные характеристики, спектральные плотности внутренних шумов и другие характеристики нелинейных и линеаризованных аналоговых устройств. В 1989 г. выпущена версия PSpice 4.0, позволявшая моделировать и смешанные аналого-цифровые устройства. Уже в следующем году создана версия  пятого поколения, обеспечившая не только текстовый, но и графический ввод принципиальных схем в среде Windows, и одновременно сменившая название – теперь программа PSpice входит в состав программной системы Design Center. Следующее поколение системы Design Center 6.0 (январь 1994 г.) характеризуется очень интересной возможностью расчета паразитных эффектов, присущих реальной печатной плате, и проведения моделирования с их учетом. В нее также включено средство проектирования программируемых логических матриц. Очередная версия Design Center 6.1 (август 1994 г.) пополнилась модулем параметрической оптимизации.  В последней версии 6.2  (апрель–декабрь 1995 г.) реализована возможность разработки печатных плат, как в системах  типа P-CAD.
В результате пользователи получили первую на IBM PC систему сквозного проектирования печатных плат. Ее лидирующая позиция укрепляется интеграцией с автотрассировщиком SPECCTRA фирмы Cooper&Chyan Technology.
Имеются версии системы Design Center для ПК IBM PC (процессоры 386, 486, Pentium, операционные системы Microsoft Windows 3.1, Windows 95, Windows NT 3.5, MS-DOS 5.0 и более поздние версии) и рабочих станций типа Sun и HP (операционные системы SunOS 4.12, Solaris 2.3, OpenWindows 3.0  и более поздние версии). Для платформ DOS и MS-Windows имеются лицензии для автономных пользователей (stand-alone) и работы в сети,  для Sun и HP9000/700 – только сетевые.
Книга посвящена в основном работе с Design Center 6.2 на платформе Windows, функционирование в среде DOS изложено фрагментарно. Основное внимание уделено проблемам схемотехнического моделирования, в меньшей степени –  разработке печатных плат. Материал по синтезу аналоговых фильтров и цифровых устройств здесь не рассматривается.  В предлагаемой книге обращено внимание на принципиальные вопросы, возникающие при работе с Design Center, и исчерпывающим образом изложен необходимый справочный материал, который иллюстрируется большим количеством примеров и методических материалов. Знакомство с книгой позволит освоить систему Design Center гораздо быстрее, чем с помощью многотомной фирменной документации, к которой придется обращаться лишь за разъяснениями  отдельных сложных случаев.
Данную книгу можно использовать при работе не только с версией 6.2 системы Design Center, но и с более ранними версиями. По мере возможности в тексте указывается, начиная с какой версии справедлива та или иная  конструкция. Если таких указаний нет, то изменений после версии 4.0, которой посвящена книга [14], не производилось.  Однако в связи с невозможностью указать абсолютно на все нововведения при диагностике ошибок следует обращаться к файлу readme.doc текущей версии Design Center,  в котором перечислены ее новые возможности.
Рекомендуется следующая последовательность изучения системы Design Center, в дистрибутив которой включено большое количество примеров.


Сначала имеет смысл загрузить в схемный редактор один из них, например  файл example.sch, содержащий схему дифференциального усилителя. После этого, руководствуясь разд. 1.2, провести моделирование, просмотреть  его результаты и получить общее представление о системе. Далее рекомендуется прочесть гл. 3, чтобы изучить текстовую форму задания на моделирование для PSpice, составить задания для моделирования простейших примеров, оформляя их  в виде текстовых файлов с расширением имени .cir и вызывая последовательно программы PSpice и Probe под управлением DOS или Windows (в зависимости от имеющегося варианта Design Center). Изучение текстового описания схем необходимо для того, чтобы  в дальнейшем, освоив ввод схем в графическом виде, можно было диагностировать ошибки. Кроме того, знание текстового формата описания компонентов необходимо для составления и редактирования атрибутов их графических символов, создания новых символов. Техника графического ввода схем и выполнения моделирования с помощью управляющей оболочки под управлением Windows изложена в гл. 2.  После этого необходимо изучить разд. 7.2, посвященный программе графического отображения результатов моделирования Probe. Остальные разделы книги прорабатываются по мере надобности.  Перед освоением подсистемы проектирования печатных плат PCBoard (гл. 8) целесообразно ознакомиться с основными понятиями и методикой проектирования в системе P-CAD [19].
Материал книги основан на опыте, приобретенном в Московском энергетическом институте при проведении занятий со студентами и сотрудничестве с научно-исследовательскими институтами и предприятиями промышленности. При ее написании переработана и дополнена предыдущая книга [14], посвященная версии PSpice 4.0. Публикация обзорных статей по моделированию устройств электроники [15–17] показала, что интерес к этим проблемам в последнее время появился не только в учебных заведениях,  но и на предприятиях промышленности.  Автор благодарит всех своих коллег, студентов  и читателей за полезные дискуссии.Наконец, особая благодарность моим близким за их долготерпение и поддержку при подготовке книги.
Автор благодарит АООТ “Родник Софт” – официального дилера корпорации MicroSim – за предоставленную возможность познакомиться с последними версиями системы Design Center и автотрассировщика печатных плат SPECCTRA.
Со всеми замечаниями по данной работе просим обращаться по адресу: 113556, Москва, Нахимовский просп., д. 1, корп. 1, АООТ “Родник Софт”; телефон: (095) 113-7001, факс: (095) 316-9754, E-mail: pode@rodnik.msk.su.

Высокочастотные схемы замещения резистора и конденсатора


Модели дискретных конденсаторов и резисторов на высоких частотах должны учитывать паразитные индуктивности выводов, шунтирующую емкость резистора и сопротивление потерь конденсатора. Примеры эквивалентных схем композиционных или пленочных резисторов с допустимой рассеиваемой мощностью 0,25 Вт и керамических конденсаторов небольших размеров, используемых на частотах выше 1 МГц, приведены на рис. 5.15 [22]. Длина выводов в каждой модели принята 2,5 мм. Если длины соединительных проводников печатной платы будут больше, то индуктивности выводов необходимо увеличить, исходя из удельной индуктивности 0,4 нГн/мм. Подобные модели удобнее всего оформлять в виде подсхем (макромоделей), как указано ниже:

*Макромодель угольного металлопленочного резистора

.subckt RES  1  3  PARAMS: R=1

R   1   2   {R}     ; сопротивление резистора

L   2   3   7n        ; индуктивность выводов

C   1   3   0.3p     ; шунтирующая емкость

.ends

*

*Макромодель керамического конденсатора

.subckt CER_CAP   1   4   PARAMS: C=1

C   1   2   {C} : емкость конденсатора

R   2   3   0.075 : сопротивление потерь

L   3   4   7n : индуктивность выводов

.ends

Здесь предусмотрена возможность передавать из основной схемы в макромодель сопротивление резистора и емкость конденсатора с помощью параметров R и C,

указанных после ключевого слова PARAM. Например, резистор с сопротивлением 3,9 кОм включается между узлами 5 и 8 схемы предложением

XRES   5   8   RES   PARAMS:R=3.9k

Рис. 5.15. Высокочастотные эквивалентные схемы пленочного резистора 0,25 Вт (а) и керамического конденсатора (б)



Задание начальных условий


Начальные значения узловых потенциалов по постоянному току задаются по директиве

.IC   V(<номер узла>[,<номер узла>])=<значение ЭДС>*

Приведем пример:

.IC V(5)=1.24  V(IN)=0

К указанным узлам подключаются источники постоянного напряжения с внутренним сопротивлением 0,002 Ом, и рассчитывается режим по постоянному току. После завершения расчета эти источники отключаются – так задаются начальные значения узловых потенциалов перед расчетом переходных процессов.

Если в задании имеются и директива .NODESET, и директива .IC, то первая не будет выполняться при расчете режима по постоянному току перед началом анализа переходных процессов.

Задание начального приближения узловых потенциалов по постоянному току производится по директиве

.NODESET <V(<узел>[,<узел>])=<значение ЭДС>>*

Приведем пример:

.NODESET V(9)=5.6 V(8,2)=4.95

К указанным узлам подключаются источники постоянного напряжения с внутренним сопротивлением 0,002 Ом и выполняется одна итерация расчета режима по постоянному току. Затем эти источники автоматически отключаются и итерационный процесс расчета режима нелинейной схемы по постоянному току продолжается. Если заданные значения узловых потенциалов близки к точному решению, то процесс расчета завершается за меньшее количество итераций. Эта директива полезна при расчете очень больших схем по частям и расчете схем с несколькими устойчивыми состояниями.

Запись в файл узловых потенциалов

 (Save Bias Point) осуществляется по директиве

 .SAVEBIAS <"имя файла"> < OP |  DC | TRAN> [NOSUBCKT]

+ [TIME=<значение> [REPEAT]]

+ [TEMP=<значение>] [STEP=<значение>]

+ [MCRUN=<значение>]

+ [DC=<значение>] [DC1=<значение>] [DC2=<значение>]

В файл с указанным именем записываются значения узловых потенциалов схемы для анализа указанного вида OP, DC или TRAN.
Для каждого вида анализа в одном задании должна быть отдельная директива.
Параметр NOSUBCKT запрещает запись в файл узловых потенциалов внутренних узлов макромоделей.
Параметры TIME=<значение> [REPEAT] определяют моменты времени, в которые запоминаются узловые потенциалы схемы при расчете переходных процессов (режим TRAN). Ели параметр REPEAT не указан, то узловые потенциалы запоминаются в первый момент времени, равный указанному значению (с точностью до шага интегрирования) или превышающий его. При наличии параметра REPEAT параметр <значение> равен интервалу времени, с которым узловые потенциалы периодически запоминаются, при этом в файле сохраняется только последняя запись.
Параметр TEMP=<значение> задает температуру, для которой сохраняются узловые потенциалы при вариации температуры, а необязательный параметр STEP=<значение> задает интервал температур, через который обновляется запись в файл.
Параметр MCRUN=<значение> определяет количество вариантов расчетов, через которые обновляется запись в файл при статистическом анализе или расчете по методу наихудшего случая.
Параметры DC=<значение>, DС1=<значение> и DC2=<значение> задают значения параметров, варьируемых по директиве .DC, для которых производится запись в файл. Параметр DC=<значение> используется только при вариации одной переменной, а DC1 и DC2 –  при вариации двух переменных (соответственно первой и второй).
Образуемый на диске текстовый файл имеет следующий формат. Сначала на одной или более строках в виде комментариев указываются имя схемы, заголовок, дата создания, затраты времени на расчет, тип анализа, температура и т. п. Далее помещается единственная директива .NODESET, содержащая полную информацию об узловых потенциалах схемы. Поэтому после загрузки файла по директиве .LOADBIAS эти потенциалы будут автоматически установлены.
Одно из типичных применений директив .SAVEBIAS и .LOADBIAS –  исследование больших схем, для которых расчет режима по постоянному току занимает значительное время.


Тогда после расчета режима по постоянному току он может быть сохранен по директиве .SAVEBIAS и в дальнейшем перед выполнением других видов анализа (переходных процессов, частотных характеристик, статистического анализа и т. п.) узловые потенциалы в этом режиме могут быть загружены по директиве .LOADBIAS. Единственное ограничение –  директивы .SAVEBIAS и .LOADBIAS должны помещаться в разных заданиях на моделирование. Заметим, что при модификации схемы пользователь может для улучшения сходимости вручную отредактировать файл режима, добавляя или исключая из директивы .NODESET ряд узлов схемы и корректируя их потенциалы.
Приведем примеры:
.SAVEBIAS   "DC_POINT.NOD"   OP
.SAVEBIAS   "TR_DATA.TRN"   TRAN   NOSUBCKT   TIME=10us
.SAVEBIAS   "DC.BSP"   DC   MCRUN=3   DC1=2.5   DC2=10
Считывание из файла узловых потенциалов (Load Bias Point) производится по директиве
 .LOADBIAS <"имя файла">
Из файла, созданного в предыдущем сеансе работы с программой PSpice, считываются узловые потенциалы по постоянному току. Для выполнения расчета переходных процессов по директиве .TRAN с заданными начальными условиями этот файл можно предварительно отредактировать и заменить директиву .NODESET на .IC. Для передачи содержания файла узловых потенциалов, указанного в директиве .LOADBIAS, в выходной файл результатов (с расширением .out), необходимо в директиве .OPTIONS указать параметр EXPAND.
Приведем примеры:
.LOADBIAS   "DC_POINT.NOD"
.LOADBIAS   "D:\PSPICE\JOB\TR_DATA.TRN"